#nm [001]

Related by string. #Nm [001] * * #nm fabrication . #nm CPU . #nm DRAM . #Nm torque . torque #Nm . #nm node [001] . #nm CMOS [001] . #nm processors . TSMC #nm [001] . #nm chips . #nm Quad Core . #nm nodes . #nm #nm [005] . #nm Hi . #nm silicon . TSMC #nm process . #nm SOI . #nm NAND . #nm quad core . #nm wavelength [001] . #nm NAND flash . #nm Process . #nm CPUs . #nm GPU . UMC #nm . #nm MirrorBit . #nm Penryn *

Related by context. All words. (Click for frequent words.) 82 nanometer 79 nanometer nm 78 nm 75 #nm node [001] 75 #nm CMOS [001] 74 #/#nm 74 #nm SOI 74 #nm fabrication 73 #nm silicon 73 TSMC #nm [001] 73 #.#μm [002] 71 #nm chips 71 TSMC #nm process 70 #nm processors 69 nanometer node 69 #nm [002] 68 #nm RF CMOS 68 #nm DRAM 68 #.#um [002] 68 nm CMOS 68 nm nodes 67 #.# micron CMOS 67 HKMG 67 #mm wafers 67 CMOS 67 .# micron 66 #nm nodes 66 #.# micron node 66 #nm #nm [005] 66 nanometer chips 66 nanometer silicon 66 SoC 65 nm node 65 DDR2 DRAM 65 mm wafer 65 DDR2 64 HKMG technology 64 smaller geometries 64 nm SOI 64 nanometer CMOS 64 high-k/metal gate 64 DDR3 64 BiCMOS 64 #nm CPUs 64 #nm/#nm 64 UMC #nm 64 TSMC 64 mm wafers 64 #nm SoC 64 nm DRAM 63 #nm NAND flash 63 Efficeon 63 micron 63 nm CMOS process 63 Penryn processors 63 silicon 63 Nehalem chips 63 #.#μ 63 DDR3 DRAM 63 Cortex A9 processor 63 tapeouts 62 immersion lithography 62 #mm wafer 62 Elpida #nm 62 #.#u 62 fabs 62 Stratix II 62 Tolapai 62 chipset 62 XDR DRAM 62 #nm node [002] 62 DDR3 chips 62 SiP 62 #nm FPGA 62 #mm fab 62 BCDMOS 62 2Gbit 62 #Mbit [002] 62 Cortex A9 62 nm FPGAs 62 ARM#EJ processor 62 GT# GPU 62 #nm Nehalem 61 IGP chipset 61 GDDR4 61 #nm CPU 61 k dielectric 61 #nm NAND 61 EUV lithography 61 nm FPGA 61 #.#μm CMOS process 61 ARM#EJ S processor 61 #nm transistors 61 CMOS processes 61 Socket AM3 61 DDR3 modules 61 SiGe 61 #nm FPGAs 61 MirrorBit Quad 61 eDRAM 61 nanometer lithography 61 NOR Flash memory 61 NAND Flash 61 #nm lithography [002] 60 #Gb NAND flash 60 DongbuAnam 60 MirrorBit technology 60 GDDR5 60 Westmere EP 60 #LP [002] 60 RF CMOS 60 4Gb DDR3 60 nm lithography 60 #nm quad core 60 FinFET 60 #nm nanometer 60 silicon germanium SiGe 60 RV# GPU 60 ArF immersion lithography 60 structured ASIC 60 #nm photomask 60 #nm Westmere 60 high-k/metal-gate 60 Penryn processor 60 #/#-nanometer 60 FPGAs 60 #nm MLC 60 #nm CMOS [002] 60 SRAMs 60 quad core 60 gigabit Gb NAND flash 60 quad core chip 60 embedded DRAM 60 transistor 60 #nm fab 60 Bearlake 60 quad cores 60 NAND 60 Penryn chips 60 #nm MirrorBit 60 #nm GPU 60 #nm wafers 60 #nm 8GB 60 #nm 2Gb 60 Stratix III 60 Intel Penryn 60 deep submicron 60 #Gbit [001] 60 nm geometries 60 CMOS logic 60 A9 processor 60 nm SRAM 59 4Gbit 59 RV# chip 59 GF# GPU 59 IMFT 59 SiON 59 GLOBALFOUNDRIES 59 Mbit 59 3Xnm 59 DDR3 memory controller 59 SoCs 59 pHEMT 59 #nm Penryn 59 RFCMOS 59 Mbit SRAMs 59 SoC designs 59 quad core processor 59 #nm Buried Wordline 59 chipsets 59 AMD Fusion APUs 59 insulator SOI 59 UltraCMOS 59 Intel Nehalem 59 PWRficient 59 Silverthorne processor 59 1Gbit 59 dual core 59 GloFo 59 geometries shrink 59 #/#-nm 59 multichip 59 Dothan Pentium M 59 WLCSP 59 nanometer NAND flash 59 Westmere processors 59 FPGA 59 #nm microprocessors 59 SOI wafers 59 structured ASICs 59 Pentium 4s 59 Virtex 5 59 K8L 59 socket AM3 58 RapidChip 58 DDR PHY 58 Phenom processors 58 LPDDR2 DRAM 58 LPDDR2 58 1GHz 58 millimeter silicon wafers 58 MLC NAND flash 58 #nm MLC NAND 58 quad core CPUs 58 SiGe BiCMOS 58 FB DIMM 58 2Xnm 58 DDR1 58 fpgas 58 Cortex A# 58 deep sub micron 58 P# chipset 58 FeRAM 58 Nehalem architecture 58 Gb NAND 58 AMD Fusion APU 58 #nm SRAM 58 inch wafers 58 Gb DDR3 58 Socket AM2 58 Altera Stratix III 58 8Gbit 58 Itanium processor 58 FDSOI 58 Clarkdale processors 58 Itanium processors 58 #nm NAND Flash 58 Stratix IV 58 #nm GPUs 58 NOR Flash 58 #mm fabs 58 3D TSV 58 #nm #nm #nm 58 GDDR3 58 quad core Opterons 58 DDR4 58 Propus 58 ARM processors 58 NAND flash 58 #.#μm CMOS 58 Cortex M0 processor 58 Westmere architecture 58 Bulldozer architecture 58 CMOS wafer 58 SiWare 58 #nm immersion lithography 58 nanometer NAND 58 GaAs 57 XDR memory 57 TSMC Hsinchu Taiwan 57 Fermi GPU 57 ARM Cortex A9 processor 57 LDMOS 57 high voltage BCDMOS 57 deep submicron CMOS 57 UMC #.#um 57 LCOS 57 multicore processor 57 Sandy Bridge 57 Llano APU 57 DDR DRAM 57 NAND memory 57 Cortex A8 57 2Gb DDR3 57 Cortex M0 57 Phenom IIs 57 copper interconnects 57 Atom CPUs 57 5V CMOS 57 Quad Core Opteron 57 CMOS transistors 57 Semprons 57 LGA# socket [001] 57 Core i7 processors 57 InGaP HBT 57 nanometer transistors 57 Cortex A9 MPCore 57 Intel Nehalem processor 57 nanometer circuitry 57 Buried Wordline technology 57 nm NAND 57 MLC NAND 57 dual core Opterons 57 FB DIMMs 57 GaN HEMT 57 Fujitsu Microelectronics 57 GDDR 57 PowerPC processor 57 #nm 1Gb 57 millimeter wafer 57 Fusion APU 57 DDRII 57 nanometer microprocessors 57 ASICs 57 Gulftown 57 Phenom CPUs 57 Stratix II GX 57 GPU cores 57 Opteron EE 57 Nanometer 57 Efficeon TM# processor 57 HEMT 57 ARM#EJ S 57 Nvidia Fermi 57 Transmeta Efficeon processor 57 Athlons 57 k gate dielectric 57 1Gbit DDR2 57 transistor leakage 57 #nm HKMG 57 socket AM2 57 quad core processors 57 TSMC #nm G 57 nvSRAM 57 Pentium M processors 57 FinFETs 57 Globalfoundries 57 Opteron chips 57 DDR2 memory controller 57 Core microarchitecture 57 Nehalem CPU 57 Radeon X# [001] 57 density NAND flash 57 Freescale 57 SMIC 56 NOR flash 56 Quad NROM 56 #Gb MLC NAND 56 MLC NAND Flash 56 Structured ASICs 56 ARM Cortex A# 56 computational lithography 56 Flex OneNAND 56 StarCore 56 Atom chips 56 Opterons 56 Elpida 56 DDR NAND 56 Nehalem Xeon 56 ARM processor 56 Nehalem microarchitecture 56 Radeon #XT 56 x# processor 56 fully synthesizable 56 NVIDIA Fermi 56 fab utilization 56 MSM# [002] 56 SuperH 56 embedded SRAM 56 MirrorBit NOR 56 Menlow platform 56 SMIC #.#um 56 StrataFlash 56 chip SoC 56 PHEMT 56 lithography 56 multicore architecture 56 Dresden fab 56 moviNAND 56 MOS transistors 56 AMD quad core 56 nanometer nm NAND flash 56 silicon germanium 56 #nm RV# 56 #,# wspm 56 Inotera 56 DDR2 memory 56 Nehalem processor 56 cellular baseband 56 wafer 56 Marvell PXA# 56 #Mbit DDR2 56 NAND Flash memory 56 millimeter wafers 56 mm fabs 56 LDMOS RF power 56 Itaniums 56 Intel Clovertown 56 Encounter RTL Compiler 56 multichip package 56 MRAMs 56 nickel silicide 56 High Voltage CMOS 56 Socket AM2 + 56 quad core microprocessor 56 Pentium M 56 NOR flash memory 56 #Gbps Ethernet switch 56 #nm Process 56 PXA# 56 mm fab 56 gigabit Gb 56 #MHz FSB [001] 56 Xeon LV 56 SiS#FX 56 RLDRAM 56 Clovertown 56 Cell Processor 56 #GB RDIMM 56 Sempron processors 56 Xeon MP 56 QuickPath interconnect 56 #.#GHz quad core [001] 56 #Gbit NAND flash 56 DrMOS 56 Pentium Extreme Edition 56 AMD Phenom processors 56 QorIQ processors 56 2GHz 56 Atom processors 56 Vsby 1 56 Dual Core Opteron 56 PRC# 56 wafer thickness 56 QorIQ 56 Structured ASIC 56 SOI CMOS 56 GPUs 56 Athlon XPs 56 RISC processors 56 4GHz 56 silicon CMOS 56 #nm geometries 56 6T SRAM 56 Efficeon processors 56 NAND Flash Memory 56 Intel XScale 56 1Mbit 56 SiS# 56 #.#um [001] 56 defect densities 56 Opteron 56 Fermi architecture 56 nanometer nm CMOS 56 HyperMemory 56 nm Penryn 56 Via Nano 56 low k dielectrics 56 nm MirrorBit 56 HardCopy II 56 Z RAM 56 Opteron processors 55 3GHz 55 optical lithography 55 Altera 55 Xilinx 55 MirrorBit 55 Phenom FX 55 SerDes 55 Core i7s 55 Core i7 chips 55 SuperFlash 55 DDR memory 55 SO DIMMs 55 mm wafer fab 55 codenamed Nehalem 55 SecurCore 55 C#x [001] 55 USB PHY 55 GbE PHY 55 Efficeon TM# 55 AMD 55 eMemory 55 Xeons 55 ARM# [003] 55 TSVs 55 ARM# [002] 55 wirebond 55 semiconductor 55 Intel Core2 Quad 55 Pentium processors 55 cell MLC NAND 55 planar CMOS 55 SATA 6Gb s 55 processor codenamed 55 CSX# [001] 55 Memory DRAM 55 DDR3L 55 OneNAND 55 LSISAS# 55 quad core chips 55 ATI GPU 55 unified shader architecture 55 ARM Cortex processor 55 Intel Nehalem microarchitecture 55 Celerons 55 Fusion APUs 55 Core i7 55 Stratix IV FPGA 55 tapeout 55 1Gb DDR2 55 GF# Fermi 55 k dielectrics 55 HCS# 55 ULi M# 55 ARM# [001] 55 MOS transistor 55 IOP# 55 GX FPGAs 55 Yonah processors 55 #mm silicon wafer 55 Mbit MRAM 55 DDR2 memory modules 55 InP 55 ITRS roadmap 55 Freescale i.MX# [002] 55 Power4 55 RISC processor 55 embedded NVM 55 Merom processors 55 MetaRAM 55 AMDs 55 NVIDIA Tesla 55 quad core Opteron 55 GLOBALFOUNDRIES #nm 55 Pentium D 55 Flash microcontroller 55 MIPS processors 55 NLP# [001] 55 transistors 55 Nehalem processors 55 NexFlash 55 Winbond 55 Phenom X3 55 UltraSparc III 55 Mobile ITX 55 Nehalem EX 55 RTL Compiler 55 X2 processors 55 pMOS 55 nano imprint 55 DDR3 SDRAM 55 Xeon processor 55 PowerPC #FX 55 MIPS processor 55 Krewell 55 #mm wafer fab 55 SO DIMM 55 Hyperthreading 55 #MSPS 55 MirrorBit Eclipse 55 Phenom II processor 55 package SiP 55 Lynnfield processors 55 multicore 55 logic LSIs 55 AMD Bulldozer 55 MetaSDRAM 55 HardCopy 55 Cortex processor 55 SiC 55 quad core Xeon 55 core Gulftown 55 epitaxy 55 ATopTech 55 Celeron E# 55 codenamed Westmere 55 eWLB 55 MIPS cores 55 #nm Hi 55 DDR3 memory 55 ICs 55 #.#GHz Pentium 4 [001] 55 low k dielectric 55 Oxide Silicon 55 #bit MCUs 55 chip SoCs 55 Core Duo chips 55 MIPS# #K 55 #nm immersion 55 PXA3xx 55 Xeon DP 55 Power5 processor 55 Intel CPUs 55 1MB cache 55 VIA Nano 55 Westmere EX 55 Celeron M 55 Power5 55 #nm LPe process 55 Athlon X2 55 baseband chip 55 chip SoC designs 55 class #Gb NAND 55 Flip Chip 55 PCI Express PHY 54 x# cores 54 #nm #nm [004] 54 Westmere processor 54 Cortex A9 processors 54 #nm #Gb 54 ORNAND 54 silicon photonics 54 JFET 54 LongRun2 54 CMOS IC 54 Montecito Itanium 54 EM#T processors 54 Core i7 CPUs 54 EUV 54 GoForce 54 AMD Dual Core 54 8bit MCU 54 PowerTheater 54 Banias Pentium M 54 WinPath3 SuperLite 54 #.#um CMOS 54 #Mb DDR2 54 ARM7TDMI 54 AMD Phenom processor 54 Arrandale processors 54 GDDR2 54 Nvidia 54 memory compilers 54 Opteron HE 54 ARM CPU 54 multiprocessor 54 DX# GPU 54 i.MX# processor [002] 54 RV# graphics 54 Serial Flash 54 FineSim Pro 54 GDSII 54 SiTime 54 XScale 54 TM# processor 54 quad core Nehalem 54 Pentium M chips 54 Xilinx FPGAs 54 Xeon E3 54 silicon chips 54 microprocessor architectures 54 quad core CPU 54 ARM Cortex M3 54 nanometer Penryn 54 Itanium chip 54 FireStream 54 socket AM2 + 54 PCI Express 54 silicon oxynitride SiON 54 AM2 socket 54 graphics chipsets 54 eASIC 54 Freescale MSC# 54 Cell MLC 54 CMOS compatible 54 micron wafers 54 Numonyx 54 MSC#x 54 quad core microprocessors 54 Intel Core Microarchitecture 54 1T SRAM 54 H# chipset 54 serdes 54 DRAM 54 NVIDIA ION 54 Merom chips 54 chip 54 Westmere chips 54 SiGe C 54 PHY 54 Atom chip 54 Intel LGA# [001] 54 photomask 54 Nehalem CPUs 54 MCP# [001] 54 Intel Core i7 processors 54 Opteron CPUs 54 4Gb NAND flash 54 gallium nitride GaN 54 Marvell Sheeva 54 LSA#A 54 nForce2 54 Ultra Low Voltage 54 ASIC SoC 54 Phenom II 54 STT RAM 54 AMD Athlon# 54 Atom netbook 54 NetBurst 54 Luxtera 54 GeForce #M [002] 54 MoSys 1T SRAM 54 wafer fabs 54 Mini ITX motherboard 54 Phenom II X6 54 Intel quad core 54 TrueStore 54 VX# chipset 54 Imprio 54 perpendicular recording 54 multicore DSPs 54 #mm ² [002] 54 #GB SSDs [002] 54 SB# Southbridge 54 Pentium E# 54 eWLB technology 54 Athlon II 54 GbE controller 54 DFM DFY 54 Renesas 54 Stratix II FPGA 54 Zroute 54 BiFET 54 Core i7 #UM 54 CMOS fabrication 54 MB#Q# 54 VIA Nano processor 54 AMD AM2 54 #.#GHz Dual Core 54 SERDES 54 Synopsys Galaxy 54 SOI substrates 54 AM3 CPUs 54 HyperThreading 54 QX# [002] 54 #mm# [003] 54 partial reconfiguration 54 NVIDIA nForce Professional 54 Montevina platform 54 Intel E# chipset 54 EcoRAM 54 MSM# chipset 54 TestKompress 54 Stratix III FPGAs 54 SLI MCP 54 nm NAND flash 54 socket LGA# 54 Silicon Oxide Nitride 54 Efficeon processor 54 Phenom X4 54 silicon foundries 54 microarchitecture 54 MirrorBit ORNAND 54 core Opterons 54 WiMAX chipset 54 Nomadik 54 SoC designers 54 Athlon II X4 54 workstation graphics accelerators 54 Calpella platform 54 ARM Cortex A9 54 Xscale 54 Netburst 54 Stratix FPGAs 54 MPC#D 54 Fab# 54 Bandwidth Engine 54 MAPPER 54 Reference Flow 54 Fab #X 54 AM2 + motherboards 54 CMOS Photonics 54 AMD Opteron processor 54 i7 CPUs 54 multicore DSP 53 Reference Methodology 53 k gate dielectrics 53 northbridge 53 #QM 53 Lattice Semiconductor 53 AMD Socket AM2 53 MRAM 53 GaN 53 MPC#E 53 photolithography 53 Nvidia GPUs 53 RX MCU 53 SiGe bipolar 53 8HP 53 Core Duo 53 LGA# processors [002] 53 embedded SerDes 53 Light Peak 53 codenamed Silverthorne 53 Xeon processors 53 GeForceFX 53 MagnaChip 53 baseband LSI 53 QSC# 53 antifuse 53 DAC# 53 AMD# [001] 53 Caneland platform 53 #.#GHz Xeon [002] 53 asynchronous SRAM 53 wafer fabrication 53 Strained Silicon 53 RRAM 53 Silego 53 DDR3 memory modules 53 areal density 53 gigabit NAND 53 Nand Flash 53 GDDR5 memory 53 SST SuperFlash technology 53 Intel Pentium D 53 selective emitter 53 AM2 + 53 TMS#C# DSP 53 #GbE switches 53 ultralow voltage 53 PowerQuicc 53 gigabit NAND flash 53 G3MX 53 ARM# MPCore processor 53 Core i3 i5 53 P# processor 53 Intel Gulftown 53 Stratix ® 53 #GHz [001] 53 IXP# [002] 53 Micron Boise Idaho 53 C7 processor 53 Mobility Radeon X# [001] 53 AM2 + socket 53 core Opteron 53 GeForce FX 53 Radeon X#XTX 53 C7 M 53 TMS#DM# [001] 53 GF# chip 53 IBM Power4 53 XPM 53 EP#S# 53 X# chipset 53 TSMC #.#um 53 simultaneous multithreading 53 Xeon X# 53 Intel Nehalem processors 53 Intel Itanium processor 53 Silicon Germanium 53 inch wafer fabs 53 ARM7TDMI S 53 MSM# processor 53 IGP chipsets 53 VIA C7 processor 53 STM#L 53 GDDR4 memory 53 #nm lithography [001] 53 quad core Kentsfield 53 Athlon XP M 53 microprocessor cores 53 Atom processor 53 Clarkdale CPUs 53 SLC NAND flash 53 notebook GPUs 53 #μm thick [002] 53 2Gb DDR2 53 line BEOL 53 Global Foundries 53 i7 processors 53 GF# [003] 53 Sempron 53 GHz Opteron 53 Cadence Encounter 53 Atom chipset 53 multicore CPUs 53 Sequans SQN# 53 Nvidia Fermi architecture 53 i.MX# [001] 53 #MHz frontside bus [001] 53 MOSFET 53 PHY IP 53 Altera Stratix IV 53 #.#mm# [001] 53 AMD #GX 53 MLC SSDs 53 Tilera 53 1T SRAM memory 53 STi# 53 Intel Core2 53 Silicon Photonics 53 Cortex R4 53 AMD Geode 53 SSDs 53 quad core Athlon 53 Intel 53 PolarPro 53 XLP# 53 GeForce #GT 53 gigabit DDR3 SDRAM 53 Core i7 #X 53 AMD# processors 53 Kentsfield 53 VCSEL 53 SOI 53 ARM Cortex ™ 53 UltraSparc IV 53 mask ROM 53 PowerNow 53 #GbE switch 53 Gbit 53 Stratix V 53 Poulsbo chipset 53 Transmeta Crusoe 53 SiS# chipset 53 Cell processor 53 Nehalem EP 53 #nm LL 53 Embedded DRAM 53 picoArray 53 Spansion 53 Nanya 53 VIA Nano processors 53 insulator SOI technology 53 Cadence Encounter RTL Compiler 53 Intel ® 53 ARM#JZF S processor 53 #nm Clarkdale 53 processor microarchitecture 53 SDRAMs 53 B3 stepping 53 Arrandale 53 Itanium chips 53 VIA chipset 53 Cortex M3 processor 53 Synopsys IC Compiler 53 Harpertown 53 Mbit densities 53 PWRficient processor 53 Barts GPU 53 CPUs 53 Bipolar CMOS DMOS BCD 53 nForce #a 53 Quartz DRC 53 DesignWare USB 53 QuickPath 53 CPLDs 53 Cortex A8 processor 53 Clarksboro chipset 53 bipolar transistors 53 AltiVec 53 LSIs 53 configurable processor 53 ARM#T 53 quadcore 53 Phison 53 Quad Core Xeon 53 Atom Z# 53 TQP# 53 SSD 53 Intel Nehalem EX 53 SLC NAND 53 clockspeeds 53 BGA packaging 53 Gbit NAND flash 53 Nehalem EX processors 53 Cortex M3 53 CEVA TeakLite III 53 i.MX# [002] 53 #mm silicon wafers 53 CULV processors 53 chipmaking 53 power dissipation 53 Xeon ® 53 #MIPS [002] 53 #mm wafer fabrication 53 TSMC Reference Flow 53 Power5 + chip 53 Double Patterning 53 amorphous alloy transformer 53 Intel Calpella platform 53 TSMC #nm LP 53 silicon wafer 53 Nehalem 53 Extreme Ultraviolet EUV 53 Xeon 53 Geode LX 53 silicon interposer 53 wafer dicing 53 Nextreme 53 Nehalem EX chips 53 MB#K# 53 Samsung Exynos 53 PXA#x 53 core Nehalem EX 53 OMAP# [001] 52 Clovertown processors 52 TSMC UMC 52 programmable logic 52 Intel #GM chipset 52 1Ghz 52 Stratix IV FPGAs 52 Sparc# V 52 high-k/metal gate HKMG 52 copper metallization 52 AMD CPUs 52 ARM#EJ 52 Sandybridge 52 transistor scaling 52 ARM# ™ 52 quad core Phenom 52 Atom CPU 52 Atom ™ 52 EM#T 52 Altera FPGAs 52 Atom D# 52 MPC#E processor

Back to home page