nm

Related by string. NM * * Santa Fe NM . SANTA FE NM . Santa Rosa NM . SANTA ROSA NM . Albuquerque NM . ALBUQUERQUE NM . Las Cruces NM . LAS CRUCES NM . Farmington NM . FARMINGTON NM . Hobbs NM . HOBBS NM . Deming NM . ALBUQUERQUE NM BUSINESS WIRE . ALBUQUERQUE NM Albuquerque . Nm torque . Los Lunas NM . NE Albuquerque NM . ALBUQUERQUE NM KRQE . NM Rothschild . nanometer nm . Roswell NM *

Related by context. All words. (Click for frequent words.) 78 #nm [001] 77 #nm [002] 76 nanometer 71 nanometer nm 68 nm CMOS 67 nm nodes 66 micron 66 #nm CMOS [001] 65 #.#μm [002] 64 #.#um [002] 64 nm node 64 #nm node [001] 64 nanometers 64 #nm nodes 63 Vsby 1 63 nanometers nm 63 nm wavelengths 62 #/#nm 62 nanometer node 62 #nm SOI 62 nanometer silicon 62 #nm silicon 62 #.# micron node 61 #.# micron CMOS 61 nm lithography 61 μm 61 CMOS 61 TSMC #nm process 61 Mbit SRAMs 60 #.#μ 60 nm immersion lithography 60 .# micron 60 #.#um [001] 60 nanometer CMOS 60 #.#μm [001] 60 wph 60 #nm/#nm 60 CMOS transistors 59 5nm 59 mm wafer 59 TSMC #nm [001] 59 #nm #nm [002] 59 micron wafers 59 nm CMOS process 58 DongbuAnam 58 FinFET 58 mm wafers 58 #nm [003] 58 hafnium oxide 58 #μm [002] 58 silicon germanium SiGe 58 #nm fabrication 58 #.#u 58 5μm 57 wafer thickness 57 #μm [001] 57 k dielectric 57 MOS transistors 57 #LP [002] 57 #/#-nanometer 57 #nm RF CMOS 57 5V CMOS 57 UltraCMOS 57 1nm 57 3nm 57 ArF immersion lithography 57 SiON 57 #nm chips 57 smaller geometries 57 #nm wavelength [001] 57 MOS transistor 57 HEMT 57 nanometer lithography 57 mm ² 56 nm immersion 56 #nm #nm [005] 56 FinFETs 56 HKMG 56 geometries shrink 56 lithography 56 nano imprint 56 nm wavelength 56 optical lithography 56 BiCMOS 56 #.#nm [002] 56 EUV lithography 56 2nm 56 UMC #nm 56 6T SRAM 56 high-k/metal gate 56 nm DRAM 56 lasing wavelength 56 1μm 56 Nanometer 56 nanometer wavelength 55 copper interconnects 55 silicon 55 transistor 55 nm SRAM 55 pHEMT 55 Gb NAND 55 linewidths 55 nm SOI 55 pMOS 55 #mm wafers 55 EBDW 55 #nm wavelengths 55 RV# GPU 55 SOI CMOS 55 ARM#EJ processor 55 VCSEL 55 VCSELs 55 Double Patterning 55 #nm DRAM 54 GaN HEMT 54 mm fabs 54 meV 54 dual damascene 54 #nm SRAM 54 #nm immersion 54 nickel silicide 54 #GHz [001] 54 Elpida #nm 54 nm geometries 54 CMOS processes 54 #.#μm CMOS process 54 EUV resists 54 epitaxy 54 SiGe 54 #nm CMOS [002] 54 SiO 2 54 quantum dot lasers 54 SiP 54 2μm 54 SiGe C 54 6nm 54 silicon CMOS 54 photolithographic 54 millimeter mm 54 CMOS compatible 54 immersion lithography 54 defect densities 54 #nm immersion lithography 54 millimeter wafer 54 #nm processors 54 emission wavelength 54 photon detection 54 CMOS logic 54 #nm lithography [002] 54 crystalline Si 54 3D TSV 54 InGaP HBT 54 SAR ADC 54 Mbit 54 high-k/metal gate HKMG 54 #nm SoC 53 high voltage BCDMOS 53 photodetectors 53 deep submicron CMOS 53 Photolithography 53 nonpolar GaN 53 GaAs pHEMT 53 micron thick 53 low k dielectric 53 #nm FPGA 53 silicon germanium 53 electron mobility 53 submicron 53 #um [002] 53 nautical miles nm 53 ArF 53 #.#nm [001] 53 indium phosphide 53 HEMTs 53 HfSiON 53 EUV masks 53 nitride semiconductor 53 millimeter silicon wafers 53 gate dielectrics 53 JFET 53 e beam lithography 53 CMOS fabrication 53 #nm geometries 53 UMC #.#um 53 #nm MirrorBit 53 #nm lithography [001] 53 3Xnm 53 picosecond 53 nm FPGA 53 LQFP 53 BCDMOS 53 DDR3 DRAM 53 InP 53 oxide thickness 53 FDSOI 53 Oxide Silicon 53 #nm node [002] 53 #nm laser [001] 52 SOI wafers 52 GT# GPU 52 nm VCSEL 52 EUV 52 InSb 52 gate electrode 52 #.#um CMOS 52 vertical cavity 52 2Xnm 52 silicon Si 52 #x#mm [002] 52 DDR2 DRAM 52 High Voltage CMOS 52 InGaN 52 Gb DDR3 52 HKMG technology 52 phototransistors 52 #nm 2Gb 52 TSMC Hsinchu Taiwan 52 Silicon Oxide Nitride 52 #nm photomask 52 #.#μm CMOS 52 Mbit densities 52 #nm transistors 52 dielectric constant 52 SMIC #.#um 52 planar CMOS 52 #mm# [003] 52 #nm #nm #nm 52 RF CMOS 52 #nm wafers 52 mW 52 microns 52 Gbits s 52 MESFET 52 embedded DRAM 52 epiwafers 52 ITRS roadmap 52 micrometer 52 nanometer chips 52 computational lithography 52 μm diameter 52 Gb s 52 #mm ² [002] 52 wirebond 52 nanometer scale 52 Electron Mobility Transistor 52 transistor leakage 52 mK 52 graphene transistors 52 SO8 52 singlemode 52 nm FPGAs 52 WLCSP 52 transistor HEMT 52 PHEMT 51 DDRII 51 low k dielectrics 51 GaN 51 MirrorBit Quad 51 FWHM 51 SiGe bipolar 51 cm -2 51 mm BGA 51 photolithography 51 imprint lithography 51 NMOS 51 GaAs 51 #GB RDIMM 51 planar 51 insulator SOI 51 Socket AM3 51 Mbit MRAM 51 SiGe BiCMOS 51 #μm thick [002] 51 insulator SOI technology 51 inch wafers 51 RFCMOS 51 superlattice 51 #Gbit [001] 51 Efficeon 51 eDRAM 51 kbit 51 copper metallization 51 transistor pHEMT 51 linewidth 51 #mm ² [001] 51 optical waveguides 51 gigabit Gb NAND flash 51 shorter wavelengths 51 CyberShuttle 51 logic LSIs 51 angstroms 51 insulator wafers 51 #MSPS 51 LCOS 51 numerical aperture 51 transistors 51 silicon germanium SiGe BiCMOS 51 DUV 51 LDMOS 51 epitaxial deposition 51 k gate dielectric 51 RRAM 51 #x# mm [004] 51 #mm wafer 51 pin BGA package 51 ASML TWINSCAN 51 CMOS circuits 51 photoemission 51 bandgap 51 6GHz 51 InAs 51 BGA packages 51 milliwatts mW 51 voltage CMOS 51 Epitaxial 51 cm ² 51 Si substrate 51 ultraviolet lasers 51 5mm x 5mm 51 lm W 51 femtosecond pulses 51 microamperes 51 InGaAs 51 silicon nanophotonic 51 Westmere processors 51 AlGaN 51 #nm Buried Wordline 51 extreme ultra violet 51 cm -1 51 DDR3 chips 51 #nm microprocessors 51 emitting lasers 51 subwavelength 51 SIMOX 51 EUV mask 51 Reference Flow 51 Silicon Germanium 51 IGP chipset 51 nMOS 50 metal gate HKMG 50 4KEc 50 #nm NAND flash 50 ZnSe 50 TFTs 50 MSPS ADC 50 Penryn processor 50 Gbit s 50 nanometer NAND 50 dielectrics 50 LSA#A 50 nanolithography 50 TSMC #.#um 50 DDR3 modules 50 serdes 50 nanometer circuitry 50 QCLs 50 BiFET 50 #.#mm# [001] 50 k dielectrics 50 Buried Wordline technology 50 #nm 8GB 50 Low Leakage 50 gallium nitride GaN 50 GDDR5 50 2Gbit 50 GbE PHY 50 high-k/metal-gate 50 DDR2 50 AlN 50 LPDDR2 DRAM 50 RFMD GaN 50 silicon photonic 50 dielectric etch 50 microwatt 50 nsec 50 LSISAS# 50 THz 50 #GHz [002] 50 silicon substrates 50 angstrom 50 nanoimprint 50 CMOS silicon 50 IC 50 Fermi GPU 50 DDR1 50 GaN wafers 50 Bipolar CMOS DMOS BCD 50 nanocrystalline silicon 50 laterally diffused metal 50 Sparc# V 50 nm VCSELs 50 hydride vapor phase 50 tapeouts 50 Imprio 50 SFP + transceivers 50 W/cm2 50 CMOS IC 50 #nm FPGAs 50 XDR DRAM 50 multilayers 50 Flexfet 50 BEOL 50 Insulator SOI 50 CdSe 50 #nm Nehalem 50 CMP consumables 50 gate dielectric 50 wavelength tunable 50 DFB lasers 50 TSMC 50 GaP 50 embedded SRAM 50 SoC 50 reticle inspection 50 TiN 50 wavelength tunability 50 μW 50 wafer bumping 50 oxide semiconductor 50 numerical aperture NA 50 CMOS wafer 50 wirebonding 50 #nm NAND Flash 50 photomask 50 #mW [001] 50 GFLOPs 50 Stratix III 50 quantum cascade 50 AlGaN GaN 50 #nm #nm [004] 50 DFEB 50 deep ultraviolet DUV 50 leadframe 50 8nm 50 epi wafers 50 FeRAM 50 DAC# 50 um CMOS 50 GaN RF 50 backplanes 50 Efficeon processors 50 #x#mm package 50 EUVL 50 Immersion Lithography 49 multichip 49 bipolar transistors 49 micrometre 49 mpm 49 #,# wspm 49 Lithography 49 μs 49 #.#x#.#mm 49 μm thick 49 mosfet 49 ARM#EJ S processor 49 carbon nanotube CNT 49 HardCopy II 49 silicon nanowire 49 Virtex 5 49 QFN packages 49 mm2 49 DFM DFY 49 lithographic techniques 49 leakage currents 49 embedded NVM 49 Intel Core2 Quad 49 Gbps transceivers 49 deep sub micron 49 pellicle 49 Vertical Cavity Surface Emitting 49 Reference Methodology 49 maskless lithography 49 absorption spectroscopy 49 LDMOS RF power 49 Gigahertz GHz 49 deep submicron 49 wafer 49 Mach Zehnder 49 GDSII 49 Strained Silicon 49 logic CMOS 49 mm silicon wafers 49 sq. mm 49 Ultra Low Voltage 49 NAND Flash Memory 49 mm fab 49 #nm LPe process 49 #Msps [002] 49 nanometer transistors 49 4Gb DDR3 49 fpm 49 microchannel plate 49 photoresists 49 aluminum nitride 49 dielectric layers 49 Gigabyte GeForce GTX 49 #.#Gbps [004] 49 Gbit DDR3 49 SiO2 49 planar waveguide 49 Indium Phosphide 49 PIN diodes 49 pin LQFP package 49 #Msps [001] 49 TSMC Nexsys 49 Penryn processors 49 tunable filters 49 monolithic microwave integrated 49 FPGAs 49 fab utilization 49 AMS Reference Flow 49 SiC 49 DDR PHY 49 #G DQPSK 49 discretes 49 RV# chip 49 chipscale 49 STT RAM 49 7GHz 49 Silego 49 linearly polarized 49 geometries 49 Gbps transceiver 49 oxynitride 49 optical interconnections 49 photomasks 49 embedded SerDes 49 SiWare 49 silicon photonics 49 Altera Stratix III 49 uniaxial strain 49 pulsed lasers 49 nm NAND flash 49 Agilent B#A 49 ps nm 49 1Mbit 49 Megabit Mb 49 Gbps 49 #Gbps Ethernet switch 49 Opteron EE 49 fully synthesizable 49 Silicon CMOS Photonics 49 pin BGA packages 49 Pin Scale 49 2Gb DDR3 49 transistor scaling 49 fabs 49 planar transistors 49 #bit ADCs 49 mm wafer fab 49 Array FPGA 49 Gbit NAND flash 49 4GHz 49 breakdown voltages 49 Cu interconnects 49 GaN layers 49 silicon oxynitride 49 solution processible 49 #K LEs 49 HVICs 49 PIC#F# [002] 49 nanometer NAND flash 49 Gallium Nitride 49 HCS# 49 ZnO 49 Structured ASICs 49 Flex OneNAND 49 Flip Chip 49 nm MirrorBit 49 TetraMAX ATPG 49 Memory DRAM 49 QMEMS 49 line BEOL 49 CMOS oscillators 49 semiconductor 49 Nd YAG 49 dielectric 49 μm wavelength 49 gigabit NAND 49 MIPS# #K 49 DarkChip 49 ZnS 49 SiC substrates 49 silicon transistors 49 #.#nm [003] 49 class #Gb NAND 49 silicon modulators 49 NiSi 49 Micron Boise Idaho 49 #nm fab 49 extendibility 49 GMACS 49 microcavity 49 SWCNT 49 Bearlake 49 Field Effect Transistor 49 RF Power Amplifier 49 Gb NAND flash 49 Transistors 49 1GHz 49 MLC NAND Flash 49 hafnium 49 #ns [001] 49 photonic crystal 49 digital converter ADC 49 silicide 49 TSMC Reference Flow 49 #ksps 49 F3D 49 Structured ASIC 48 PIN photodiodes 48 OptoPHY 48 #GBASE CX4 48 GFlops 48 photodiode 48 Arria GX FPGAs 48 OneChip 48 Infinera PICs 48 GaAs InP 48 DrMOS 48 wafers 48 BGA packaging 48 silicon wafer 48 microbolometers 48 nvSRAM 48 gallium nitride 48 Westmere architecture 48 MOCVD 48 femtogram 48 StrataFlash 48 optical interconnects 48 8GHz 48 GaN HEMTs 48 threshold voltages 48 optical microscopy 48 W mK 48 femtosecond pulse 48 #nm Process 48 amorphous alloy transformer 48 #NM [002] 48 PROLITH 48 GFLOPS 48 TWINSCAN XT 48 insulator substrate 48 sub micron 48 #Gb MLC NAND 48 FB DIMM 48 #.#mm x #.#mm [003] 48 #Gbps transceivers 48 keV 48 QFN 48 multimode optical fiber 48 CMOS RF CMOS 48 millimeter wafers 48 GAIN HBT 48 fs pulses 48 iDP 48 micromachined 48 extreme ultraviolet lithography 48 CIGS cells 48 Extreme Ultraviolet EUV 48 GaSb 48 capacitances 48 GeV 48 excitation wavelengths 48 nm excitation 48 GPU cores 48 MRAMs 48 MAPPER 48 nanofilm 48 TrueStore 48 XA Spartan 3A 48 substrates 48 #Mbit [002] 48 1T SRAM memory 48 μV 48 metal oxide 48 GaN transistors 48 AMD Fusion APU 48 pin SSOP 48 TowerJazz 48 HardCopy 48 kHz 48 indium gallium phosphide InGaP 48 nanowire 48 DDR3 48 ADS# [002] 48 Efficeon processor 48 microcavities 48 FCBGA 48 selective emitter 48 photonic 48 #ns [002] 48 GaN substrates 48 NOVeA 48 IOP# 48 monocrystalline silicon 48 optocoupler 48 nanometer nm NAND flash 48 6mm x 6mm 48 arcsecond 48 core Gulftown 48 #Gb NAND flash 48 pulsed laser deposition 48 silica spheres 48 ferroelectric 48 #.# micron SiGe 48 Transistor 48 PBGA package 48 qubit quantum 48 bit RISC processor 48 SOI silicon 48 Tunable XFP 48 finFETs 48 UVision 48 Complementary Metal Oxide Semiconductor 48 K dielectrics 48 amorphous silicon 48 Semiconductors ITRS 48 XinTec 48 TSVs 48 lithographic patterning 48 photodetector 48 #.#dB [003] 48 diffraction limit 48 nms 48 GaAs substrates 48 IC Compiler #.# 48 lithographic 48 #lm [002] 48 Westmere processor 48 intrinsic jitter 48 #MIPS [002] 48 SMD LEDs 48 solder bumps 48 DMOS 48 oxide thickness EOT 48 optically coupled 48 Joanne Itow 48 Nvidia Fermi 48 picoseconds 48 ECPR 48 femtosecond 48 Schottky 48 #pin [001] 48 SRAMs 48 #nm CPUs 48 Digital Converter ADC 48 GaAs MMIC 48 glass substrate 48 NOR Flash 48 PowerPAK 48 #nm wavelength [002] 48 1MHz 48 TSMC #nm LP 48 underfill 48 k1 48 Flash microcontrollers 48 cmos 48 Silicon Germanium SiGe 48 Mach Zehnder modulators 48 Micromorph 48 ARPES 48 fpgas 48 argon fluoride 48 x 5mm 48 Stratix III FPGAs 48 Gallium arsenide 48 asynchronous SRAM 48 AlInGaP 48 silicon photovoltaics 48 XFP 48 ferromagnetic 48 microbolometer 48 Dektak 48 KB L2 cache 48 electrically insulating 48 DAPDNA 48 optical modulators 48 XFP modules 48 Bipolar CMOS DMOS 48 kS s 48 nano imprint lithography 48 indium phosphide InP 48 ultraviolet laser 48 VCXO 48 GeForce GTS 48 indium arsenide 48 TSMC #nm G 48 SOI substrates 48 violet laser diodes 48 Tezzaron 48 RedHawk SDL 48 QuickPath interconnect 48 #nm nanometer 48 ATtiny# 48 Indium phosphide 48 SerDes 48 sSOI 48 Synopsys DFM 48 nanometer microprocessors 48 serial EEPROMs 48 MirrorBit technology 48 AccelArray 48 Terahertz 48 MEMS resonators 47 InGaP 47 Gbit 47 Stratix IV FPGA 47 UVTP 47 Angstroms 47 8HP 47 Kbits 47 3GHz 47 mechanical polishing CMP 47 MAX# integrates 47 ASIC SoC 47 Richard Brilla CNSE 47 SiTime 47 Xilinx Virtex 47 MTCMOS 47 micrometres 47 #Mbit DDR2 47 organic TFTs 47 Raman lasers 47 shader processors 47 μsec 47 ICs 47 line FEOL 47 optical transceivers 47 germanium substrates 47 FineSim Pro 47 A9 processor 47 GaN layer 47 Strained silicon 47 inch wafer fabs 47 cellular baseband 47 GX FPGAs 47 #nm #nm [003] 47 through silicon vias 47 TSSOP 47 AFBR 47 #Gb s [001] 47 SKY# #LF 47 #-#-# SMIC 47 eutectic 47 Mpc 47 RapidChip 47 PMOLED 47 pin QFN 47 MirrorBit NOR 47 epitaxial 47 MLC NAND flash 47 gigabit DDR3 SDRAM 47 m z 47 gigabit Gb 47 nanometer nm CMOS 47 JESD# [002] 47 indium gallium nitride 47 Scale Package 47 furnaceware 47 SDRAMs 47 pin BGA 47 wafer dicing 47 metallization 47 CMOS Silicon 47 GaAs substrate 47 Wafer Level 47 GaAs FET 47 LiNbO3 47 MBd 47 optical amplifiers 47 #/#-nm 47 CMOS scaling 47 DDR3 memory controller 47 optical modulator 47 pin SOIC packages 47 ADF# 47 manufacturable 47 flexographic plates 47 digital optocouplers 47 AlGaAs 47 8bit MCU 47 optical waveguide 47 ATI PowerPlay ™ 47 GLOBALFOUNDRIES #nm 47 SO DIMM 47 nV 47 #bit MCUs 47 AFMs 47 GPa 47 MSC# DSP 47 micrometers thick 47 Serializer Deserializer SerDes 47 metallic nanostructures 47 chalcogenide 47 Mbits 47 strontium titanate 47 Phenom II processor 47 CIS CIGS 47 CNT FED 47 Stratix II GX 47 mu m 47 SO DIMMs 47 #.#mm x #.#mm [004] 47 TMS#C# DSP 47 terahertz 47 serializer deserializer 47 substrate 47 Aera2 47 nanomesh 47 #nm 1Gb 47 HDP CVD 47 transceiver module 47 mrad 47 DDR NAND 47 GDDR4 47 #nm Virtex 47 silicon modulator 47 mV 47 photoresist 47 8MB L3 cache 47 CdTe Si 47 K8L 47 PIC microcontroller 47 Flash microcontroller 47 1mm x 1mm 47 #mV V 47 micrometer thick 47 1Gbit DDR2 47 silicon foundries 47 femtoseconds 47 2GHz 47 transceiver modules 47 silicon Mach Zehnder 47 Fab #i 47 extreme ultraviolet EUV 47 Power4 47 micron pixels 47 monolithic CMOS 47 copper nanorods 47 Dual Core Opteron 47 Gbit s Ethernet 47 SiGe transistors 47 silicon epitaxial 47 FETs 47 Gb sec 47 package SiP 47 ownership CoO 47 mmWave 47 #us [001] 47 GHz 47 Westmere EP 47 silicon chips 47 SOI 47 lithographic processes 47 arcsec 47 polycrystalline 47 gigahertz 47 Nehalem chips 47 mA 47 CMOS Image Sensors 47 anneal 47 tunable laser 47 SAW resonator 47 #nm laser [002] 47 #G/#G [001] 47 #bits 47 Optical Transceiver 47 perovskite 47 SST SuperFlash technology 47 sub Angstrom 47 x 9mm 47 transistor SRAM 47 k gate dielectrics 47 emitting lasers VCSELs 47 micrometer sized 47 microstrip 47 DDR2 memory modules 47 multijunction solar cells 47 planarization 47 nanometers nanometer 47 Stratix II 47 polariton 47 electroluminescence 47 bit RISC CPU 47 MHz bandwidth 47 micron pixel 47 ZXLD# 47 4Gbit 47 MagnaChip 47 parasitic capacitance 47 MeV 47 attoseconds 47 Substrate 47 transceiver IC 47 antifuse 47 consumes #mW 47 nanoimprinting

Back to home page