epitaxy

Related by string. Epitaxy * * Formosa Epitaxy . molecular beam epitaxy . Molecular Beam Epitaxy . beam epitaxy assembly . phase epitaxy . CSD Epitaxy . epitaxy HVPE . beam epitaxy *

Related by context. All words. (Click for frequent words.) 69 epitaxial 64 CMOS fabrication 63 CMOS wafer 63 #nm silicon 63 gate dielectrics 63 photolithography 63 MOCVD 63 #.#μm [002] 63 dielectrics 63 HEMT 62 FEOL 62 dielectric etch 62 pHEMT 62 plasma etching 62 ECPR 62 silicon Si 62 amorphous silicon Si 62 silicon 62 silicide 62 #.# micron node 62 III nitride 62 nanometer node 62 BEOL 62 chemical vapor deposition 62 gate dielectric 62 SOI CMOS 62 layer deposition ALD 62 epitaxy HVPE 62 nitride 62 CMOS transistors 62 epitaxial deposition 61 Si substrates 61 high-k/metal gate 61 silicon germanium 61 gate electrode 61 SiC 61 vapor deposition 61 silicon CMOS 61 #nm immersion lithography 61 sapphire substrate 61 nanometer silicon 61 #nm CMOS [002] 61 anneal 61 defect densities 61 FinFET 61 germanium substrates 61 substrates 61 hafnium oxide 61 wafer bonding 61 silicon germanium SiGe 61 millisecond anneal 61 silicon substrates 61 GaAs substrate 60 CMOS logic 60 InGaN 60 e beam lithography 60 gallium nitride 60 photoresist 60 silicon photovoltaics 60 insulator substrate 60 annealing 60 MOS transistors 60 InP 60 Epitaxial 60 SiC wafers 60 planarization 60 crystalline Si 60 UVTP 60 oxide semiconductor 60 insulator wafers 60 Photolithography 60 .# micron 60 Silicon Germanium 60 #.# micron CMOS 60 SOI wafer 60 PHEMT 60 #nm node [001] 60 micro optics 60 BCDMOS 60 epiwafers 60 sputter deposition 60 lithography 60 immersion lithography 60 DongbuAnam 60 epitaxial layer 60 aluminum nitride 60 nanocrystalline 59 dielectric layers 59 micromachining 59 photoresist strip 59 epi wafers 59 multicrystalline silicon 59 ion implantation 59 Picogiga 59 reactive ion 59 SiC substrates 59 BiFET 59 photolithographic 59 Aixtron MOCVD 59 AlGaN GaN 59 k dielectric 59 indium phosphide InP 59 silicon substrate 59 Cadmium Telluride CdTe 59 line BEOL 59 AIX #G# 59 epiwafer 59 extreme ultraviolet lithography 59 silicon wafers 59 SOI silicon 59 PEALD 59 AlGaN 59 semiconductive 59 #nm #nm [005] 59 GaN 59 nitride semiconductor 59 lithographic techniques 59 BiCMOS 59 MESFET 59 LSA#A 59 multijunction solar cells 59 thermo mechanical 59 substrate 59 furnaceware 59 pMOS 59 InGaP 59 nanotube arrays 59 pulsed laser deposition 59 wafer 59 metallization 59 GaN wafers 59 NiSi 59 crystalline silicon c 59 wafer uniformity 59 electrodeposition 59 heterostructures 59 SiON 58 ellipsometry 58 epitaxial wafers 58 #/#nm 58 INTRINSIC 58 k gate dielectric 58 mechanical polishing CMP 58 nMOS 58 laser scribing 58 nickel silicide 58 nm nodes 58 SOI substrate 58 #.#μ 58 oxide layer 58 #nm nodes 58 monocrystalline silicon 58 CIGSe 58 SiGe 58 low k dielectric 58 microfabrication 58 lithographic processes 58 AlN 58 wafer dicing 58 superlattice 58 wafer bumping 58 Micromorph 58 #nm CMOS [001] 58 optical waveguides 58 monocrystalline ingots 58 HVPE 58 Gallium arsenide 58 MOS transistor 58 photoresist stripping 58 UMC #nm 58 LiNbO3 58 CMOS compatible 58 CRIUS 58 SiGe bipolar 58 LPCVD 58 metallisation 58 epitaxial structures 58 GaAs substrates 58 wafer thinning 58 thermal annealing 58 ArF immersion lithography 58 High Voltage CMOS 58 selective emitter 58 AlGaAs 58 multilayers 58 GaN substrates 58 wafering 57 epitaxial wafer 57 Silicon wafers 57 silicon germanium SiGe BiCMOS 57 nano imprint lithography 57 sol gel 57 insulator SOI technology 57 #μm thick [002] 57 NANOIDENT 57 hydride vapor phase 57 dimensional nanostructures 57 passivation 57 wafer thickness 57 leadframe 57 computational lithography 57 copper metallization 57 ZnSe 57 Grätzel cells 57 photoresists 57 magnetron sputtering 57 copper indium diselenide 57 through silicon vias 57 #nm node [002] 57 wafers 57 PECVD 57 PEDOT 57 RFMD GaN 57 etch deposition 57 Si substrate 57 SiO 2 57 glass substrate 57 nanochannel 57 dielectric layer 57 conductivities 57 RRAM 57 GaAs 57 nanoimprinting 57 microcavities 57 Copper Indium Gallium Selenide 57 indium phosphide 57 low k dielectrics 57 gallium arsenide 57 #.#um [001] 57 Schottky 57 gallium nitride GaN 57 Indium phosphide 57 epitaxial layers 57 smaller geometries 57 wafer bonder 57 indium arsenide 57 microelectronic devices 57 SOI wafers 57 silicon carbide wafers 57 photonic devices 57 monocrystalline wafers 57 deep sub micron 57 nanomembranes 57 nanoimprint 57 nanocrystal 57 heterostructure 57 Strained silicon 57 HEMTs 57 semiconductor wafers 57 nanometer 57 millisecond annealing 57 polycrystalline 57 CRIUS II 57 CdTe 57 polymer membrane 57 micromirror 57 GaN LEDs 57 Elpida #nm 57 CMOS RF CMOS 57 crystalline semiconductors 57 graphene transistors 57 silicon wafer 57 copper interconnects 57 On Insulator SOI 57 crystallinity 56 #nm DRAM 56 nano imprint 56 ceramic membranes 56 heterojunction 56 CIGS solar cells 56 solder reflow 56 centrotherm 56 Bipolar CMOS DMOS BCD 56 high voltage BCDMOS 56 semiconducting materials 56 conductive polymer 56 Czochralski 56 electron beam lithography 56 CMOS circuits 56 SiGen 56 die bonder 56 carbon nanotube CNT 56 film transistors TFTs 56 CdSe 56 flexible substrates 56 CMP consumables 56 bandgap 56 insulator SOI 56 microcrystalline silicon 56 UV NIL 56 ZnS 56 solder bumping 56 Gallium Nitride 56 manganite 56 sintering 56 transistor arrays 56 thermo compression 56 CVD diamond 56 thermal conduction 56 TFPV 56 backsheet component 56 #nm/#nm 56 indium tin oxide ITO 56 eutectic 56 mask aligner 56 semiconductor nanowires 56 nm CMOS process 56 ferrite 56 dielectric materials 56 transparent conductive oxide 56 etching DRIE 56 #.#μm CMOS 56 DRIE 56 Powerful debug 56 antireflective coatings 56 nanometer CMOS 56 CIGS Copper Indium 56 Insulator SOI 56 nm CMOS 56 nanodots 56 #.#μm [001] 56 VCSELs 56 dual damascene 56 InN 56 transparent conductive 56 polymer membranes 56 #.#um [002] 56 CMOS circuitry 56 underfill 56 GaN layers 56 MEMS fabrication 56 Gallium Arsenide GaAs 56 TSVs 56 transparent electrode 56 SOI substrates 56 #nm SOI 56 silicon oxynitride 56 Optima HDx 56 ZnO 56 chip optical interconnects 56 Gallium Arsenide 56 GaAs MESFET 56 surface functionalization 56 poly silicon 56 silicon epitaxial 56 nm node 56 photocatalysts 56 ion implanters 56 SOI MEMS 55 CMOS wafers 55 Esatto Technology 55 MEMS resonators 55 CIS CIGS 55 Helios XP 55 nonpolar GaN 55 micro machining 55 multilayer ceramic capacitors MLCC 55 micromorph 55 CMOS foundry 55 HfSiON 55 CMOS MEMS 55 Norstel 55 ion beam 55 FinFETs 55 wafer metrology 55 transistor 55 nanofilm 55 optical coatings 55 LTPS TFT 55 electron beam welding 55 nanodevice 55 engineered substrates 55 #.#um CMOS 55 barium titanate 55 nanostructured silicon 55 microstructured 55 Clear Shape 55 physical vapor deposition 55 nanotubes nanowires 55 ArF 55 Deep Reactive Ion Etching 55 chalcogenide 55 microfocus 55 InP substrates 55 phototransistors 55 GaP 55 gallium phosphide 55 DPSS lasers 55 silicon waveguide 55 uniaxial strain 55 micrometre scale 55 defectivity 55 nano patterning 55 AlGaInP 55 #nm #nm [002] 55 sSOI 55 amorphous silicon 55 strontium titanate 55 optical metrology 55 GaN transistors 55 FeRAM 55 nm geometries 55 silicon micromachining 55 GaAs pHEMT 55 EUV lithography 55 threshold voltages 55 photodetector 55 bipolar transistors 55 photomasks 55 supercritical fluid 55 manufacturable 55 bismuth telluride 55 #nm geometries 55 micromachined 55 di selenide CIGS 55 #nm [001] 55 indium gallium nitride InGaN 55 Copper Indium Gallium 55 indium gallium phosphide InGaP 55 Buried Wordline technology 55 thinner wafers 55 nanometer scale 55 nucleation layer 55 c Si 55 immersion litho 55 argon fluoride 55 semiconductor 55 #nm #nm #nm 55 k dielectrics 55 silicon nitride 55 planar transistors 55 CMOS processes 55 #nm RF CMOS 55 photodetectors 55 antimonide 55 solder bumps 55 gallium arsenide indium phosphide 55 heterogeneous catalysts 55 RFCMOS 55 electron mobility 55 GaN wafer 55 tapeouts 55 Control LACC 55 CMOS silicon 55 SiC Schottky diodes 55 Alchimer 55 Indium Phosphide InP 55 XT #i 55 laser annealing 55 SiGe C 54 CIGS copper indium 54 indium gallium nitride 54 tin oxide 54 IGBT Insulated Gate 54 wirebond 54 scintillator 54 Silicon Carbide SiC 54 poly Si 54 Auria Solar 54 antifuse 54 Angstron 54 copper nanorods 54 CMP slurries 54 QMEMS 54 CMOS 54 deep submicron 54 JFET 54 thermoelectric materials 54 HKMG 54 copper electroplating 54 opto electrical 54 metalorganic chemical vapor deposition 54 electrically insulating 54 epitaxially 54 STT RAM 54 #.# micron SiGe 54 Transparent Conductive Oxide TCO 54 insulating substrate 54 Opti Probe 54 nitriding 54 InGaP HBT 54 nanoporous 54 nanowire arrays 54 electrochemically 54 atomic spectroscopy 54 gelation 54 polymeric membranes 54 nm SOI 54 eWLB technology 54 nanopowders 54 optical lithography 54 silicate glass 54 silicon interposer 54 VUV 54 ferroelectrics 54 multicrystalline 54 gallium selenide 54 C4NP 54 metal matrix composites 54 nanostructured materials 54 microcrystalline 54 #mm silicon wafers 54 electrochemical 54 submicron 54 ownership CoO 54 Nextreme 54 nm DRAM 54 calcium fluoride 54 EUV 54 temporary wafer bonding 54 TFTs 54 high-k/metal-gate 54 leadframes 54 CdTe Si 54 self assembled monolayer 54 nm 54 backside illumination 54 subwavelength 54 nanoelectronic devices 54 Solibro 54 MOCVD reactors 54 nm lithography 54 TiN 54 nanometric 54 2μm 54 CIGS cells 54 oxide thickness 54 SIMOX 54 microbolometers 54 design kits PDKs 54 ZnO nanowires 54 thermal dissipation 54 multicrystalline silicon cells 54 indium gallium arsenide InGaAs 54 microlithography 54 zirconium nitride 54 purity silicon 54 HBLEDs 54 silicon photonic 54 ion traps 54 cathode materials 54 Aixtron 54 anodic 54 sapphire wafer 54 nm SRAM 54 nano composites 54 conductive polymers 54 k gate dielectrics 54 electron tunneling 54 PolyMax 54 PZT 54 monolithic CMOS 54 metallic interconnects 54 organic TFTs 54 APTIV film 54 X FAB 54 MaxBright 54 ultraviolet lasers 54 SiP 54 macroporous 54 nanoelectronic 54 aligned carbon nanotubes 54 x ray optics 54 zirconium oxide 54 nano crystals 54 photonic crystal fibers 54 aluminum nitride AlN 54 nano coatings 54 GaN transistor 54 graphene layers 54 InSb 54 monolithically integrated 54 TSMC #nm process 54 cordierite 54 monolithic microwave integrated 54 intermetallic 54 passivating 54 voltage CMOS 54 GaN layer 54 BGA packaging 54 5μm 54 geometries shrink 53 singulation 53 plasma etch 53 #nm lithography [001] 53 dopant 53 String Ribbon 53 Chemical Vapor Deposition CVD 53 electroplating 53 photonic circuits 53 quantum dot lasers 53 inorganic semiconductors 53 semiconductor nanostructures 53 transistor leakage 53 solder bump 53 deep ultraviolet DUV 53 oxynitride 53 overlay metrology 53 crystalline silicon 53 #.#nm [002] 53 rectifier diode 53 line FEOL 53 semiconductor wafer 53 Germanium 53 TOF TOF 53 indium gallium 53 silicon nitride ceramic 53 microelectronic packaging 53 #nm lithography [002] 53 HBLED 53 monocrystalline silicon wafers 53 HfO2 53 AlN layer 53 sintered 53 centrifugation 53 SWCNT 53 ANTARES 53 heterojunctions 53 nanocomposite material 53 ferromagnetic 53 germanium wafers 53 electro optic polymers 53 mm wafers 53 polymer coatings 53 CIGS solar cell 53 IDMs 53 metallic nanostructures 53 liquid crystal polymers 53 #.#μm CMOS process 53 YAG lasers 53 sub #nm CMOS 53 ion implant 53 silicon etch 53 gold nanoclusters 53 InAs 53 5V CMOS 53 GaAs HBT 53 C0G 53 imprint lithography 53 Ruthenium 53 mask aligners 53 transparent conductive coatings 53 GaN HEMTs 53 Dektak 53 silicon foundries 53 semiconducting nanowires 53 Carbon nanotube 53 wide bandgap 53 electro optic polymer 53 deep submicron CMOS 53 vanadium oxide 53 Sigma fxP 53 integrated circuits IC 53 nanofluidics 53 silicon nanoparticles 53 poly crystalline 53 biocatalytic 53 Planetary Reactor 53 ZMD AG 53 JENOPTIK GmbH 53 copper indium gallium diselenide 53 STANGL 53 exotic alloys 53 photomask 53 embedded Wafer Level 53 deep silicon etch 53 microfluidic devices 53 Micromorph ® 53 ferroelectric 53 indium antimonide 53 semiconductor CMOS 53 Photoresist 53 inch wafers 53 EUV masks 53 APCVD 53 electrochemical sensor 53 varistor 53 excimer 53 Complementary Metal Oxide Semiconductor 53 Organic Chemical Vapor 53 EBDW 53 CIGS PV 53 optically transparent 53 transistor circuits 53 zinc selenide 53 elastic modulus 53 electron optics 53 photorefractive polymer 53 WiCkeD 53 fxP 53 ThyssenKrupp VDM 53 Solamet ® 53 functionalizing 53 polysilicon ingot 53 melt viscosity 53 pentacene 53 SUSS MicroTec 53 semiconducting 53 Ge substrates 53 germanium 53 DMOS 53 photovoltaic module 53 EUV mask 53 indium gallium arsenide 53 lithium niobate 53 conductive epoxy 53 Day4 Electrode 53 epitaxial graphene 53 SOI Silicon 53 #nm photomask 53 ceramic capacitor 53 microreactors 53 ITRS roadmap 53 Aluminum Nitride 53 3Xnm 53 Schottky diode 53 Thermal Processing 53 #nm Buried Wordline 53 ceramic powders 53 micromorph ® 53 Nd YAG lasers 53 transparent electrodes 53 mono crystalline silicon 53 THz radiation 53 inch wafer fabs 53 Zenasis 53 MWNTs 53 titanium sapphire 53 ferritic 53 solution processible 53 fused quartz 53 bipolar transistor 53 VECTOR Express 53 TSMC #nm [001] 53 heterojunction bipolar transistor 53 Tegal DRIE 53 X ray microscopy 53 nano fabrication 53 nanolaser 53 polymerisation 53 microchannel 53 laterally diffused metal 53 carbon nanotubes CNT 53 2G HTS wire 53 PMOS transistors 52 catalytic reactions 52 CVD etch 52 monodisperse 52 multijunction 52 Flex OneNAND 52 absorption spectroscopy 52 selective soldering 52 thermoforming 52 EUV resists 52 silicon carbide 52 OptoCooler 52 damascene 52 InGaAs 52 solvent evaporation 52 planar 52 directional solidification 52 silicon PV modules 52 micron 52 #nm fabrication 52 embedded passives 52 photomultiplier 52 aluminum gallium nitride 52 EVG# 52 tin Sn 52 CMP slurry 52 hermetic packaging 52 mm wafer 52 DCG Systems 52 multilayer ceramic 52 CIGS 52 polaritons 52 ALD Atomic 52 microcavity 52 nanofilms 52 #.#u 52 K dielectrics 52 Silicon CMOS Photonics 52 ElectriPlast ¿ 52 Flip Chip 52 shot peening 52 nanocluster 52 GaAs gallium arsenide 52 ZMDI 52 nanoimprint lithography NIL 52 nonlinear optical 52 wafer fabs 52 indium gallium phosphide 52 polymer substrate 52 nanometer nm CMOS 52 GaN HEMT 52 microfocus X ray 52 integrated passives 52 embedded EEPROM 52 gallium indium arsenide 52 polymer substrates 52 GAIN HBT 52 brightness LED 52 nanometrology 52 ultrasonic welding 52 oxyfuel combustion 52 silane gas 52 nanoarrays 52 opto electronic 52 LPKF 52 wide bandgap semiconductor 52 Silicon Via 52 nm wavelengths 52 MuCell 52 hetero junction 52 filament winding 52 Manz Automation 52 AquiVia 52 ferroelectric RAM 52 ultraviolet curable 52 silica substrate 52 microtubes 52 toroids 52 photonic components 52 nanolithography 52 fused deposition 52 bandgaps 52 carbonization 52 WLCSP 52 multicrystalline cells 52 UMG Si 52 nanoimprint lithography 52 phototransistor 52 optical waveguide 52 scatterometry 52 Indium Phosphide 52 Rofin 52 chalcogenide glass 52 carburizing 52 insert molding 52 sapphire wafers 52 AFMs 52 LTPS 52 wafer foundries 52 dopants 52 leakage currents 52 presswork 52 CMOS oscillators 52 QDs 52 CMOS scaling 52 silicon nanocrystals 52 CNano 52 #nm NAND flash 52 photonic crystal 52 ATMI 52 MOCVD reactor 52 semiconductor fabrication 52 millimeter silicon wafers 52 micromechanical devices 52 silicon carbide substrates 52 ion implanter 52 polycrystalline solar 52 multicore architecture 52 Deep Reactive Ion Etch 52 photonic bandgap 52 geometries 52 silicon MEMS 52 ceramic matrix composites 52 vibrational spectroscopy 52 Rapid prototyping 52 electrochemical deposition 52 centrotherm photovoltaics 52 Chemical Vapor Deposition 52 Sulfurcell 52 spintronic device 52 photovoltaics PV 52 capacitively coupled 52 nanopowder 52 electroless copper 52 nanoscale patterning 52 monosilane 52 laser micromachining 52 PEEK OPTIMA 52 Thin Film Transistors 52 GaSb 52 Field Effect Transistor 52 silicon oxide 52 Si Ge 52 Si PV 52 Silicon Carbide 52 semiconductor nanocrystals 52 AlSiC 52 diffractive optical elements 52 mono crystalline 52 fused silica 52 overmolding 52 nanopillar 52 silicon modulators 52 interdigitated 52 quantum cascade 52 #nm laser [001] 52 patterning 52 thermoplastic polymers 52 InP HBT 52 photorefractive 52 terrestrial concentrator 52 2Xnm 52 GaN LED 52 PEDOT PSS 52 Dresden fab 52 ultrapure 52 magnetron 52 encapsulants 52 martensitic 52 NdFeB 52 HKMG technology 52 Smart Stacking 52 conductive coatings 52 Polycrystalline 52 PV module manufacturing 52 Nitride 52 #GB RDIMM 52 MOCVD systems 52 photocatalysis 52 maskless lithography 52 #.#um CMOS process 52 ElectroPhen 52 laser diode modules 52 bipolar CMOS DMOS 52 spectroscopic methods 52 ARM#EJ processor 52 cemented carbide 52 focused ion beam 52 colloidal silica 52 HDP CVD 52 ALLVIA 52 Lumiramic phosphor technology 52 selectivities 52 TCAD Sentaurus 52 electrolytic 52 flex rigid 52 Applied Endura 52 #nm 1Gb 52 nanostructuring 52 Si wafers 52 multicrystalline ingots 52 nanostructure 52 optically pumped 52 metal insulator 52 NEXX Systems 52 nanomagnets 52 FD SOI 52 logic LSIs 52 gallium arsenide gallium nitride 52 opto mechanical 52 pultrusion 52 dye sensitized 51 lanthanum aluminate 51 VCSEL 51 PIN diode 51 Veeco 51 organic photovoltaics 51 thermoplastic polymer 51 uniaxial 51 modeling FDM 51 SiGe BiCMOS 51 Fab 3E 51 forgings castings 51 Atomic Layer Deposition 51 MAX# integrates 51 ionization mass spectrometry 51 finer geometries 51 μm thick 51 ceramic substrate 51 Amorphous silicon 51 #mm wafers 51 TWINSCAN 51 nanosheets 51 permittivity 51 semiconductor fabs 51 Lextar 51 metallic nanoparticles 51 slurries 51 BGA CSP 51 analog circuits 51 wide bandgap semiconductors 51 DPSS laser 51 Cadmium Telluride 51 boron nitride 51 Josephson junctions 51 CMOS IC 51 electro optic plastics 51 silicon carbide SiC 51 photodiodes 51 quantum cascade lasers 51 optoelectronic 51 offgas 51 ferrite beads 51 thermally 51 GaAs GaN

Back to home page