Related by context. All words. (Click for frequent words.) 71 anneal 67 annealed 66 sintering 61 dielectric 61 metallisation 61 dielectrics 61 polymerisation 61 epitaxial 61 vapor deposition 60 fused silica 60 sol gel 60 epitaxy 60 passivation 60 substrate 60 block copolymer 60 ferrite 60 sintered 60 martensite 60 reflow 59 silicide 59 carburizing 59 nitride 59 silicon nitride 59 nitriding 59 underfill 59 martensitic 59 interfacial layer 58 polymerization 58 thermomechanical 58 AlN 58 #oC [001] 58 crosslinking 58 electrodeposition 58 paramagnetic 58 crystallinity 58 nucleation 58 debonding 58 thermal annealing 58 ferromagnetic 58 anodic 58 metallization 58 solder reflow 58 SiO 2 57 uniaxial 57 graphite oxide 57 dewetting 57 electroplating 57 eutectic 57 dielectric materials 57 electrolytic 57 nanocrystalline 57 oxidation 57 tin oxide 57 thermally stable 57 silicon oxide 57 ZnSe 57 indium tin oxide ITO 57 dielectric layers 57 tinning 57 titanium oxide 57 substrates 57 BEOL 57 UVTP 56 continuous annealing 56 Annealing 56 lamination 56 mandrel 56 intermetallic 56 gate electrode 56 intermetallic compounds 56 siloxane 56 recrystallization 56 SWCNT 56 CdSe 56 lasing 56 anneals 56 elution 56 photoresists 56 aluminum oxide 56 hydrolysis 56 solder joints 56 halide 56 photolithography 56 manganite 56 thermally activated 56 weldability 56 isothermal 56 graphene sheets 56 ductility 56 cathodic 56 cored wire 56 laminations 56 photothermal 56 tensile stress 55 polariton 55 pearlite 55 thermo mechanical 55 superlattice 55 polyimide 55 carbonization 55 nitrided 55 electrically insulating 55 degassing 55 low k dielectrics 55 anisotropic 55 nickel silicide 55 isotropic 55 titania 55 regrinding 55 NiSi 55 calcination 55 carbides 55 anode 55 nanocrystal 55 electrowinning 55 conductive epoxy 55 superlattices 55 wafer bonding 55 perovskite 55 zirconium oxide 55 differential scanning calorimetry 55 submerged arc welding 55 demagnetization 55 FEOL 55 AlGaN 55 polymeric 55 VUV 55 monolayer 55 semiconducting 55 arc furnace 55 deburring 55 metallizing 54 denaturation 54 dielectric layer 54 metastable 54 silane 54 adiabatic 54 austenitic stainless steels 54 chalcogenide 54 carbon steels 54 overmolding 54 chemical vapor deposition 54 QDs 54 electron beam welding 54 epitaxial layer 54 oxide layer 54 ferroelectric 54 nanopowder 54 thermally conductive 54 extrusion 54 micromachining 54 wave soldering 54 nanodots 54 pultrusion 54 nanotube arrays 54 ceria 54 dihydrogen 54 alkynes 54 TiN 54 antiferromagnetic 54 nucleation layer 54 molecular sieve 54 antireflective coatings 54 ZnO 54 silicon substrate 54 InGaAs 54 ZnS 54 ohmic contacts 54 plasma etching 54 polymer 54 carbide 54 austenitic stainless steel 53 shot peening 53 dielectric constant 53 heterostructures 53 hafnium oxide 53 anodes 53 compressive stress 53 gate dielectrics 53 low k dielectric 53 reflow solder 53 barium titanate 53 austenitic 53 photoresist 53 magnetization 53 GaN layer 53 gelation 53 anodising 53 ferritic 53 undoped 53 thermal decomposition 53 gasketing 53 epoxide 53 Epitaxial 53 photolithographic 53 adhesive bonding 53 vacuum brazing 53 planarization 53 solder paste 53 preheater 53 e beam lithography 53 titanium nitride 53 melt viscosity 53 polyaniline 53 workpiece 53 embrittlement 53 UV VIS 53 cathode 53 nitrogen doped 53 carbene 53 SiO2 53 electroless copper 53 dopant 53 PVD coating 53 thermocouples 53 nonlinear optical 53 ferromagnetism 53 oxidizing 53 silicon substrates 53 molten solder 53 InAs 53 oxide thickness 53 retained austenite 53 microreactors 53 μm thick 53 pellicle 53 pyrolytic 53 compressive stresses 53 regrind 53 dispersive 53 pMOS 53 machining 53 Si substrate 53 biaxial 53 YBCO 53 photonic bandgap 53 alloying 53 passivated 53 Mott insulator 53 resistive element 53 Photolithography 53 boron nitride 53 extruding 53 cathodes 53 sinter 53 borosilicate glass 53 Bose Einstein condensates 53 anodizing 53 ultrasonic welding 53 reflow soldering 53 boron carbide 53 laser scribing 52 nonconducting 52 thermoforming 52 electron beam lithography 52 microcavity 52 intergranular 52 hydride 52 nanostructuring 52 2μm 52 remelted 52 MWCNTs 52 Indium Phosphide 52 epoxy resin 52 Schottky barrier 52 leadframes 52 magnetron sputtering 52 TiO 2 52 CMOS transistors 52 crystalline structure 52 organometallic 52 nanoporous 52 germanium 52 nanowires 52 dual damascene 52 copolymer 52 PZT 52 optically transparent 52 microchannel 52 epoxies 52 Germanium 52 glass substrate 52 tin plating 52 bilayer 52 RO membrane 52 ladle furnace 52 magnetisation 52 photoresist stripping 52 intramolecular 52 conformal coating 52 C0G 52 nMOS 52 cermet 52 leadframe 52 subwavelength 52 passivation layer 52 annealing furnace 52 SOI silicon 52 1μm 52 strontium titanate 52 photolysis 52 unreacted 52 alkene 52 cerium oxide 52 monolayers 52 corrugation 52 superconducting 52 nickel alloy 52 MWNT 52 vulcanization 52 calcium fluoride 52 melting furnaces 52 photonic crystal 52 annealing temperature 52 Cu interconnects 52 imprint lithography 52 dielectric breakdown 52 adsorption 52 ladle metallurgy 52 vibrational modes 52 microcavities 52 hydrogenation 52 ductile 52 polycondensation 52 polymer matrix 52 silicon Si 52 kerf 52 centrifugation 52 photonic crystal fiber 52 insert molding 52 PEDOT 52 absorption spectroscopy 52 zirconia 52 ferroelectricity 52 layer deposition ALD 52 electron tunneling 52 indium tin oxide 52 crystallographic 52 aluminum nitride AlN 52 multilayers 52 exciton 52 MESFET 52 ionisation 52 thermally 52 dopants 52 line BEOL 52 aluminum nitride 52 sputter deposition 52 laser resonator 52 SN#C 52 pulsed laser deposition 52 lamellar 52 nanoribbons 52 welded joints 52 sapphire substrate 52 Langmuir Blodgett 51 brazing 51 HfSiON 51 argon fluoride 51 silicon nanowire 51 semiconductor nanocrystals 51 electroless 51 SWNT 51 litho 51 directional solidification 51 birefringent 51 reactive ion 51 oxide 51 fluorination 51 wafer thinning 51 solid carbide 51 cyclic loading 51 macroporous 51 inhomogeneity 51 LPCVD 51 surface plasmon 51 colloidal crystals 51 ultrathin layer 51 heterostructure 51 APCVD 51 vesicle fusion 51 microstructures 51 stencil printing 51 weld seams 51 compression molding 51 transparent conductive 51 AlGaAs 51 ZnO nanowires 51 nanocrystals 51 nanochannel 51 hydrophobic surfaces 51 planar 51 Nd YAG 51 reduced graphene oxide 51 offgas 51 Czochralski 51 erbium 51 dimensional nanostructures 51 electrospinning 51 #nm laser [001] 51 oxide semiconductors 51 excellent solderability 51 ion beam 51 weldment 51 coextrusion 51 encapsulants 51 vaporisation 51 nanorings 51 elastic moduli 51 Sn Pb 51 nanowire 51 thermosetting 51 Ruthenium 51 colloidal silica 51 crystalline lattice 51 polymer membranes 51 catalytic reactions 51 waveguide 51 alloyed steel 51 CNTs 51 PEDOT PSS 51 nanocomposite material 51 submicron 51 slurries 51 epitaxial layers 51 conductivities 51 ° F/# ° C [001] 51 MOS transistor 51 MOS transistors 51 ceramic substrate 51 formability 51 PMOS transistors 51 LiNbO3 51 micro machining 51 ferrites 51 nanotubes 51 transparent electrode 51 zeolites 51 molecular sieves 51 anticorrosive 51 windings 51 5μm 51 photobleaching 51 extrusion molding 51 lamella 51 NdFeB 51 nanoparticle arrays 51 nanodiamond 51 cemented carbide 51 cementite 51 annealing furnaces 51 coating 51 microporous 51 Nd YAG lasers 51 passivating 51 rheological 51 swaging 51 transparent conductive oxides 51 femtosecond laser 51 weldable 51 laser welding 51 conductivity 51 stainless steels 51 coated polyester 51 selective soldering 51 titanate 51 APTIV film 51 photoluminescence 51 optical waveguides 51 amorphous silicon Si 51 magnetic particle 51 SOI CMOS 51 electrochemical 51 Silicon Nitride 51 heterojunctions 51 nanofilms 51 electrochemically 51 borosilicate 51 self assembled monolayer 51 Si substrates 51 photopolymer 51 hydrides 51 waveguides 51 polyamides 50 remelting 50 crystallization 50 gratings 50 polymer coatings 50 tribological 50 nitride layer 50 thermal gradients 50 decoherence 50 #nm lithography [001] 50 silicon nanocrystals 50 silicon dioxide SiO2 50 cordierite 50 austenite 50 degasser 50 exothermic 50 hysteresis 50 aluminum alloys 50 electron doped 50 zirconium nitride 50 bistable 50 ferromagnet 50 glass frit 50 cementation 50 continuous caster 50 homopolymer 50 singulation 50 fluxing 50 dedusting 50 MWCNT 50 gold nanoclusters 50 etalon 50 nanosized 50 copper metallization 50 cell lysis 50 chalcogenide glass 50 crosslink 50 toroids 50 epitaxy HVPE 50 ZrO 2 50 femtosecond 50 HfO2 50 exotherm 50 PA6 50 electrode 50 millisecond annealing 50 solder bumps 50 oxidisation 50 smelting furnace 50 Stanyl 50 nanostructure 50 elastic modulus 50 reflow profiles 50 photocatalytic 50 lithography 50 titanium carbide 50 electrical resistivity 50 polyurethane resins 50 tetragonal 50 monomer 50 sulfur atoms 50 carbon nanotube arrays 50 electrically conductive 50 intercalation 50 cuvettes 50 cyanoacrylates 50 thermosensitive 50 crystal lattice 50 multilayer 50 toolholder 50 injection molding extrusion 50 thermocouple 50 metallic alloys 50 polydimethylsiloxane 50 olefin 50 mandrels 50 transparent conductive coatings 50 alkali metals 50 ion implantation 50 indium arsenide 50 Aluminum Oxide 50 #nm immersion 50 reflow ovens 50 chemisorption 50 micrometer scale 50 cadmium sulfide 50 alloy 50 mask aligners 50 thermal conduction 50 bimetallic 50 MLCCs 50 PbS 50 silicate glass 50 filament 50 monomers 50 yttria stabilized zirconia 50 AlN layer 50 insulators 50 lithographic processes 50 polymer membrane 50 planarity 50 ultrasonic vibration 50 laser ablation 50 photoconductive 50 elastomers 50 solubilization 50 site directed mutagenesis 50 UV curing 50 super alloys 50 CNC machining 50 gate dielectric 50 sulfation 50 electroplated 50 doped silicon 50 solder bumping 50 agarose 50 indium gallium arsenide 50 mesoporous 50 conductive polymer 50 insulator 50 fluoropolymer 50 electroless nickel 50 optically pumped 50 thermally induced 50 liquid crystal polymers 50 polymer substrate 50 poly Si 50 polarizers 50 SWNTs 50 melt adhesive 50 nematic 50 toroid 50 corrosion resistant 50 cryogenic temperatures 50 k dielectric 50 lithographic 50 yttrium barium copper 50 nonequilibrium 50 warpage 50 Photonic crystals 50 continuous slab caster 50 filament winding 50 SnPb 50 laminar 50 #.#um [001] 50 nanodevice 50 intermolecular 50 pulsed laser 50 moisture absorption 50 copolymers 50 nanoscopic 50 enzymatic hydrolysis 50 graphene 50 MPa 50 nonmagnetic 50 antireflection 50 ceramic coatings 50 ThyssenKrupp VDM 50 vanadium oxide 50 thermoplastic polyurethane TPU 50 galvanic corrosion 50 metal oxide 50 semiconducting materials 50 atomically smooth 50 Metallization 50 nanotube 50 springback 50 melt adhesives 50 nickel plating 49 isomerization 49 toolholders 49 non ablative 49 flotation circuits 49 ultrafiltration membranes 49 selectivities 49 solderability 49 Brazing 49 excitonic 49 hardcoat 49 nano coating 49 hardfacing 49 pre preg 49 ablator 49 coverslip 49 electroforming 49 porphyrin 49 soldering 49 superabrasives 49 exfoliated graphene 49 reflow oven 49 steels 49 chemically inert 49 esterification 49 laminating 49 bilayers 49 electromigration 49 encapsulant 49 hydroforming 49 microstructured 49 parylene 49 geometries 49 x ray optics 49 oxide particles 49 dipole moment 49 polaritons 49 optical lattice 49 metal oxides 49 nanolayers 49 PECVD 49 thermoset 49 CMOS fabrication 49 agarose gels 49 ultrahigh vacuum 49 silicon waveguide 49 hydrophobicity 49 modulus 49 SAC# 49 iron carbide 49 bimetal 49 palladium Pd 49 μm diameter 49 homogeneous catalysis 49 supercritical carbon dioxide 49 electroless plating 49 oxidations 49 lithographic patterning 49 polymeric materials 49 copper interconnects 49 macrocycle 49 flux cored wire 49 thermodynamically 49 threaded fasteners 49 superalloy 49 polycrystalline 49 aligned carbon nanotubes 49 quantum oscillations 49 deconvolution 49 degreased 49 elastomer 49 fluorine 49 semiconductor nanostructures 49 CVD diamond 49 semiconductive 49 ion beams 49 quasicrystal 49 Ultem 49 electrically conducting 49 Inconel 49 crosslinks 49 boron nitride nanotubes 49 oligomer 49 #μm [002] 49 monochromators 49 resin infusion 49 Ziegler Natta 49 defectivity 49 magnetic nanoparticle 49 #nm immersion lithography 49 autoclave 49 feedthrough 49 GaAs substrate 49 nanofibres 49 silicon oxynitride 49 cuvette 49 solvent evaporation 49 thermo compression 49 delamination 49 injection molding 49 polymers 49 nanowire transistors 49 zeolite membranes 49 swarf 49 graphene layers 49 CuO 49 functionalization 49 nanomagnets 49 silica spheres 49 Bragg grating 49 electric arc furnace 49 thermosets 49 steric 49 granulate 49 GaP 49 immersion lithography 49 silicon etch 49 aspheric 49 crossflow 49 Grätzel cells 49 magnetron 49 coiler 49 solder pastes 49 conformational changes 49 electroluminescence 49 DPSS lasers 49 nanotubes nanowires 49 ArF 49 electro galvanized 49 reed switches 49 covalent bonding 49 slitter 49 oxidization 49 magnetic bead 49 thermal conductivity 49 flexural modulus 49 polymer coating 49 ellipsometry 49 micrometer thick 49 bismuth telluride 49 TappingMode 49 phonon 49 interfacial 49 LSA#A 49 pyrometer 49 stilbene 49 Diels Alder reaction 49 bosonic 49 magnetocaloric effect 49 floatation circuit 49 laser irradiation 49 comminution 49 etching DRIE 49 GTAW 49 UV NIL 49 polyacrylate 49 conductive inks 49 nanostructures 49 nanospheres 49 chemical reactivity 49 carbon nanotube CNT 49 polyelectrolyte 49 cationic 49 tetramer 49 workpieces 49 dissipative 49 pyrogenic silica 49 wettability 49 magnetically coupled 49 glassfiber 49 nanowire arrays 49 etch deposition 49 thiophene 49 argon ion 49 x ray diffraction 49 FinFETs 49 PEEK OPTIMA 49 beryllium oxide 49 graphene sheet 49 EUV mask 49 covalent bond 49 phenolic resins 49 microcrystalline 49 microfabrication 49 conventional superconductors 49 AFM probes 49 vitreous 49 screw fixation 49 laser excitation 49 mechanical polishing CMP 49 superconductive 49 vias 49 laser pulse 49 Physical Vapor Deposition 49 graphitic carbon 49 electron bunches 49 lattice mismatch 49 thermowell 49 roughening 49 THz radiation 49 microfiltration membrane 49 coextruded 49 CBT resin 49 nanoimprint 49 lithographically 49 Transparent Conductive Oxide TCO 49 gallium selenide 49 permittivity 49 electric arc furnaces 49 silicon wafers 49 multiferroic 49 microlithography 49 oxy fuel 49 solder mask 49 solder bump 49 AlSiC 49 capillary electrophoresis 48 electrical insulator 48 nonpolar 48 nanosphere 48 solidification 48 UV coatings 48 electroformed 48 organic solvents 48 polymer composite 48 VICTREX PEEK polymer 48 aperiodic 48 VICTREX PEEK 48 vinyl esters 48 crystallites 48 antireflection coatings 48 wafer thickness 48 corrosion resistance 48 polyurethane resin 48 brightfield 48 PLLA 48 anionic 48 aluminized 48 oxides 48 thermoformable 48 polymer fibers 48 structural steels 48 cuprate superconductors 48 extruded profiles 48 endonuclease 48 silicon germanium 48 optical lattices 48 diffusive 48 methacrylate 48 polymer resin 48 semiconductor nanowires 48 nanostructured surfaces 48 focused ion beam 48 superparamagnetic 48 machinability 48 thermochromic 48 lamellae 48 ultrahigh purity 48 FinFET 48 emission wavelength 48 InSb 48 microcracks 48 preheating 48 transparent conductive oxide 48 ferroelectrics 48 vibrational spectroscopy 48 confocal microscopy 48 polymerizes 48 rheology 48 aqueous dispersion 48 chemically resistant 48 microsphere 48 quantum dot 48 photochemical 48 moldability 48 Consteel 48 epitaxially 48 dye molecules 48 #oF [001] 48 crystalline solids 48 spectroscopic methods 48 martensitic stainless steel 48 capacitor 48 Josephson junction 48 actinide 48 supercritical fluid 48 electron beam 48 multiaxial 48 insulator substrate 48 piezoelectric ceramic 48 excitation 48 inhomogeneities 48 powder coating 48 lanthanide 48 electrokinetic 48 metallic coating 48 Fig. 4b 48 boron trifluoride 48 magnetic separator 48 Physical Vapor Deposition PVD 48 brazed 48 corona discharge 48 calorimetric 48 ionic liquid 48 reflowed 48 lattice 48 thermic 48 nanostructured materials 48 Titanium Nitride 48 microscale 48 #.#nm [002] 48 piezoresistive 48 nanopowders 48 antiparallel 48 slab caster 48 nanoholes 48 scanning tunneling microscopy 48 indium oxide 48 carbon nanotubes 48 crystal lattices 48 nanostructured silicon 48 selective emitter 48 liquid silicone rubber 48 nanocubes 48 silicon carbide 48 K dielectrics 48 phosphors 48 absorbance 48 deep silicon etch 48 transmissivity 48 carbon nanotubes CNT 48 isocyanate 48 thermoplastic materials 48 magnetoresistance 48 supramolecular 48 nonconductive 48 nm wavelength 48 flexural 48 photochemical reaction 48 VARTM 48 anomalous dispersion 48 ceramic membranes 48 optical waveguide 48 extrudate 48 electrophoresis 48 dimensionally stable 48 through silicon vias 48 porphyrins