3Xnm

Related by string. * * *

Related by context. All words. (Click for frequent words.) 74 2Xnm 69 smaller geometries 67 #nm/#nm 67 low k dielectrics 67 ArF immersion lithography 66 #.# micron node 66 PHEMT 66 monolithically integrated 66 copper interconnects 65 #nm #nm [005] 65 #nm node [002] 64 wafer thickness 64 deep sub micron 64 k gate dielectrics 64 RFCMOS 64 SOI CMOS 64 #nm DRAM 64 #nm immersion lithography 64 nm CMOS 64 nanometer node 64 extendibility 64 #nm MirrorBit 63 pMOS 63 wirebond 63 planar CMOS 63 BiFET 63 nm CMOS process 63 #nm CMOS [002] 63 nm nodes 63 Complementary Metal Oxide Semiconductor 63 nm DRAM 63 WLCSP 63 pHEMT 63 #nm node [001] 63 ITRS roadmap 62 .# micron 62 high voltage BCDMOS 62 MOS transistors 62 CMOS logic 62 CMOS compatible 62 CMOS silicon 62 MOS transistor 62 SiC substrates 62 CMOS processes 62 #nm NAND flash 62 copper metallization 62 SiGe bipolar 62 #.# micron CMOS 62 dual damascene 62 wirebonding 62 XFP optical 62 MirrorBit Quad 62 #nm MLC 62 oxide semiconductor 62 #nm lithography [001] 62 silicon 62 silicon germanium SiGe 62 SiON 62 Powerful debug 61 GaAs pHEMT 61 SiGe C 61 nanometer NAND 61 thinner wafers 61 deep submicron 61 epi wafers 61 MirrorBit ORNAND 61 photolithographic 61 FinFET 61 CMOS IC 61 k dielectrics 61 insulator SOI technology 61 #G DQPSK 61 #/#nm 61 #.#u 61 computational lithography 61 k gate dielectric 61 dielectric etch 61 BGA packaging 61 CMOS MEMS 61 TSMC #.#um 61 #.#μ 61 edge roughness LER 61 through silicon vias 61 ownership CoO 61 geometries shrink 61 nanometer silicon 61 CMP consumables 61 HEMTs 61 Stratix III FPGAs 61 nitride semiconductor 61 LiNbO3 61 gate dielectrics 61 5V CMOS 61 Micron Boise Idaho 60 optical lithography 60 extendible cores assist 60 SFP + transceivers 60 chip SoCs 60 MirrorBit NOR 60 #nm silicon 60 CMOS transistors 60 CdTe Si 60 eWLB 60 Structured ASICs 60 #nm CMOS [001] 60 Altera HardCopy 60 Elpida #nm 60 monolithic microwave integrated 60 indium gallium phosphide InGaP 60 interposers 60 #nm SOI 60 LDMOS RF power 60 HKMG technology 60 nano imprint lithography 60 Serdes 60 DDR NAND 60 BiCMOS 60 #nm SoC 60 design kits PDKs 60 wafer dicing 60 #nm HKMG 60 K dielectrics 60 optical interconnect 60 SoC architectures 60 Gallium Nitride 60 triplexer 60 TrueScale 60 CMOS scaling 60 MLC NAND flash 60 nickel silicide 60 transistor scaling 60 ASICs FPGAs 59 #nm FPGAs 59 Differential Signaling 59 hafnium oxide 59 serdes 59 linewidths 59 package SiP 59 tunable XFP 59 jitter wander 59 structured ASICs 59 monolithic CMOS 59 SiC wafers 59 optical transceiver modules 59 multichip 59 SOI substrate 59 nano imprint 59 1T FLASH 59 XENPAK 59 FeRAM 59 #nm [001] 59 #nm 1Gb 59 multijunction solar cells 59 fiber optic transceivers 59 silicon photonic 59 LSA#A 59 nanometer CMOS 59 e beam lithography 59 CMOS fabrication 59 Liquid Crystal Displays 59 wafer thinning 59 mask reconfigurable 59 #.#μm [002] 59 Through Silicon Vias 59 Arria GX FPGAs 59 silicide 59 SoCs ASICs 59 eWLB technology 59 oxide thickness 59 maskless lithography 59 parasitic capacitance 59 MAX# integrates 59 StrataFlash 59 Ge substrates 59 transistor leakage 59 QMEMS 59 CyberDisplay #K 59 silicon interposer 59 silicon germanium SiGe BiCMOS 59 serializer deserializer 59 DDR PHY 59 OmniPixel2 59 CMOS RF CMOS 59 UMC #.#um 59 TGA# SL 59 #.#μm CMOS process 59 OptiML Focus 59 On Insulator SOI 59 solder bump 59 PIN photodiodes 59 BEOL 59 JFET 59 SRAM DRAM 59 SiPs 59 deep submicron CMOS 59 low k dielectric 59 Buried Wordline technology 59 Flip Chip 59 #.#um [002] 59 metallisation 59 high-k/metal gate 59 Industry Highest Density 59 defect densities 58 TrueFocus 58 nanometer lithography 58 DDR2 DRAM 58 solder bumping 58 TrueStore 58 spiral inductors 58 #nm RF CMOS 58 ORNAND 58 MTP NVM 58 Mbit SRAMs 58 Silicon CMOS Photonics 58 TC#XBG 58 Oxide Silicon 58 #nm lithography [002] 58 #G/#G Ethernet 58 ceramic capacitor 58 CMOS imagers 58 parametric yield 58 nanometer transistors 58 insulator wafers 58 #GbE switches 58 Silicon Germanium SiGe 58 UMC #nm 58 XFP module 58 PIN diodes 58 nm node 58 microbolometer 58 leakage currents 58 #nm #nm [002] 58 Gallium Nitride GaN 58 1Gbit DDR2 58 nanometer 58 8bit MCU 58 micromirror 58 #nm wavelength [002] 58 varactors 58 k dielectric 58 Mach Zehnder 58 #/#-nanometer 58 Laser VCSEL 58 MESFET 58 voltage CMOS 58 Silicon Oxide Nitride 58 MBd 58 #.#μm CMOS 58 SAW oscillators 58 Virtex 5 58 serial backplane 58 BCDMOS 58 SFP transceivers 58 epiwafers 58 GaN HEMT 58 CIS CIGS 58 #.#um CMOS 58 organic TFTs 58 #nm 2Gb 58 projected capacitive touch 58 phototransistors 58 2Gb DDR2 58 CMOS complementary 58 microbolometers 58 mask ROM 58 antifuse 58 MEMS oscillators 58 CMOS ICs 58 SOI wafers 58 MirrorBit Eclipse 58 MEMS resonators 58 Quad NROM 58 SFP + modules 58 oxynitride 58 extreme ultra violet 58 Altera Stratix IV 58 Stratix II GX 58 IGBT Insulated Gate 58 Gallium Arsenide 58 Actel FPGAs 58 OneChip 58 Infinera PICs 58 GAIN HBT 58 threshold voltages 58 Gallium arsenide 58 dielectrics 58 CoolPAM 58 V# platform 58 XFP modules 58 PROLITH 58 nm SOI 58 productization 58 GX FPGAs 58 nonvolatile memories 58 RF transistors 58 Soitec produces 58 port #GBASE T 57 FD SOI 57 InGaP HBT 57 #nm nodes 57 DongbuAnam 57 MI #XM 57 HBLEDs 57 indium gallium nitride InGaN 57 finer geometries 57 DSPs FPGAs 57 AlGaN GaN 57 nanofabricated 57 GaN transistors 57 3Gb s SDI 57 Debug Solution 57 Stratix IV FPGA 57 4Gbit 57 AccelArray 57 AlGaInP 57 transceiver modules 57 DPSK 57 SLC NAND flash 57 Vertical Cavity Surface Emitting 57 FinFETs 57 imprint lithography 57 #μm thick [002] 57 4Gb DDR3 57 reticle inspection 57 Xilinx Virtex 5 57 Flex OneNAND 57 simultaneous multithreading 57 Silicon Germanium 57 SIMOX 57 tunable RF 57 ReRAM 57 millimeter silicon wafers 57 GaAs FET 57 5μm 57 VCSEL driver 57 embedded SRAM 57 millisecond anneal 57 InGaAs 57 mixed signal RFCMOS 57 RF LDMOS 57 SLC MLC 57 #G DPSK 57 Pseudo SRAM 57 manufacturability 57 surface mountable 57 STT RAM 57 8G Fibre Channel 57 TSMC #nm process 57 Gb NAND 57 millisecond annealing 57 HfSiON 57 DDR SDRAMs 57 InGaP 57 MirrorBit R 57 partial reconfiguration 57 #GFC 57 SHELLCASE 57 tunable optical 57 tunable filters 57 Solarflare #GBASE T 57 TQFP package 57 DQPSK 57 heterojunction bipolar transistor HBT 57 silicon MEMS 57 GaAs HBT 57 fpgas 57 NiSi 57 EUV lithography 57 InfiniBand QDR 57 planar transistors 57 multilayer ceramic capacitors 57 2Gbit 57 DDR3 DRAM 57 active matrix OLEDs 57 conductive epoxy 57 Efficeon TM# 57 X7R 57 iCoupler 57 Samsung OneNAND 57 QDRII + 57 TSVs 57 SiP 57 SMIC #.#um 57 #nm #nm #nm 57 nm SRAM 57 YieldAssist 57 ADN# 57 crystalline silicon c 57 Mach Zehnder modulator 57 pluggable optics 57 Optima HDx 57 Field Effect Transistors 57 Structured eASIC 57 Stratix II 57 CMOS 57 Altera Stratix III 57 Sequans SQN# 57 DDR2 memory interface 57 #Gbit [001] 57 electro optic plastics 57 silicon oxynitride 57 nm NAND flash 57 wph 57 aluminum gallium nitride 57 CMOS photonics 57 ATmega#P [001] 57 microelectronic device 56 parasitic inductance 56 VIISta 56 antimonide 56 epitaxial structures 56 singulation 56 shrinking geometries 56 MAPPER 56 QDRII 56 #um [002] 56 LatticeEC 56 SiliconDrive II 56 submicron 56 #GBASE 56 DFEB 56 HardCopy ASIC 56 density #GbE 56 class #Gb NAND 56 nm wavelengths 56 MT#F# 56 wafer bonding 56 transistor pHEMT 56 TestKompress 56 ferroelectric random access 56 8Gbit 56 nm geometries 56 C0G 56 Zarlink ZL# 56 Epitaxial 56 parasitic extraction 56 Optical Transceiver 56 Field Effect Transistor 56 FDSOI 56 HEMT 56 OSTAR ® 56 eutectic solder 56 density interconnect HDI 56 SDRAMs 56 XPM Xtend 56 NanoTime 56 #nm NAND Flash 56 TetraMAX ATPG 56 NAND NOR 56 Actel ProASIC3 56 RF baseband 56 optical waveguides 56 manufactures integrated circuits 56 ARM#EJ processor 56 #GBASE CX4 56 density NOR flash 56 Texas Instruments OMAP# 56 packaging WLP 56 cmos 56 QT# [002] 56 hermetic packaging 56 8Gbit s 56 SOI silicon 56 Laser Modules 56 wafer bonder 56 VCXO 56 nanometer nm NAND flash 56 RF Microwave 56 iDP 56 extreme ultraviolet lithography 56 #nm immersion 56 #.#um [001] 56 DFM DFY 56 serializer deserializer SerDes 56 Insulator SOI 56 Follow Vishay 56 mechanical polishing CMP 56 Stratix II FPGAs 56 Encounter Timing System 56 nMOS 56 inertial MEMS 56 InfiniBand DDR 56 laser diode drivers 56 optically coupled 56 solder bumps 56 #mm MEMS 56 indium gallium arsenide 56 nm lithography 56 GaN transistor 56 vertical cavity 56 CMOS Image Sensors 56 #nm photomask 56 ZenTime 56 x ray optics 56 Encounter RTL Compiler 56 RFIC simulation 56 tuner ICs 56 PHY layer 56 DDR4 56 Serializer Deserializer SerDes 56 EO polymer 56 TSMC #nm [001] 56 wirewound 56 CIGS cells 56 PolarPro 56 multimode fibers 56 bipolar transistors 56 PCI Express Serial ATA 56 SOI substrates 56 amorphous silicon Si 56 mm wafers 56 #G Fibre Channel 56 XFP optics 56 AdvancedMC module 56 epitaxial layer 56 Gigabit Ethernet transceivers 56 multicore architecture 56 NOR Flash 56 MB#K# 56 FPC connectors 56 nanoimprinting 56 RRAM 56 multilayer ceramic 56 THine 56 planar lightwave circuits 56 EUV resists 56 Schottky diodes 56 Jag Bolaria senior 56 3nm 56 optical interconnects 56 sub micron 56 DMOS 56 Si substrate 56 parasitic capacitances 56 Serial RapidIO Gen2 56 HVIC 56 OneNAND flash 56 AMCC QT# 56 Socket AM3 56 #x#G [002] 56 emitting lasers 56 optical transceivers 56 CIGS Copper Indium 56 Impinj AEON 56 Stratix GX devices 56 #Gbps optical [001] 56 XLR #i 56 #pF [001] 56 XT #i 56 #nm LL 56 DDR2 memory controller 56 8Gb NAND 56 synchronous Ethernet 56 selective emitter 56 Stratix II FPGA 56 J#Ex 56 GaN RF 56 #G #G [001] 56 PMICs 56 #nm VCSEL [001] 56 Indium Phosphide InP 56 #nm fab 56 singlemode 56 Photolithography 56 capacitors inductors 56 ARM# MPCore processor 56 asynchronous SRAM 56 ALLVIA 56 InGaN 56 PCI Express PHY 56 HardCopy II 56 Westmere processors 56 diffractive 56 MetaSDRAM 56 XDR DRAM 56 inertial sensor 55 3bpc 55 DLP Pico ™ 55 Vdd 55 sputter deposition 55 Tony Massimini chief 55 USB PHY 55 #/#G [003] 55 integrated passives 55 laser annealing 55 #G OTN [001] 55 #Gbit s Ethernet [002] 55 Optical Interconnect 55 #mm ² [001] 55 CIGS PV 55 #nm #Gb 55 FPGA prototyping 55 Silicon Photonics 55 Die Termination ODT 55 Nanometer 55 sensor actuator 55 Ferroelectric Random Access 55 ARM7TDMI processor 55 industrial inkjet printing 55 Mbit MRAM 55 CMOS Silicon 55 nano fluidic 55 A9 processor 55 nvSRAM 55 SOC designs 55 Structured ASIC 55 GaN HEMTs 55 EP#S# 55 Tessera Licenses 55 Adopts Cadence 55 nanometer NAND flash 55 laterally diffused metal 55 stripline 55 Application Specific Integrated Circuits 55 Chip Scale 55 embedded processor cores 55 Optical Modulator 55 ARM#EJ S 55 PHY transceiver 55 inkjet printing systems 55 multijunction 55 circuit MMIC 55 Gallium nitride 55 CPUs GPUs 55 multichip package 55 leadframes 55 microprocessors microcontrollers 55 #v# [004] 55 di selenide CIGS 55 #Gbps serial 55 crystalline Si 55 Tunable XFP 55 silicon integrated circuits 55 Indium Phosphide 55 Stratix III 55 Tsi#A 55 #Gb NAND flash 55 #-Gbit/sec 55 pluggable module 55 Wafer Level Packaging 55 metallic nanostructures 55 Vishay Siliconix 55 Mosfet 55 mask aligners 55 R8C/Tiny 55 EUV mask 55 custom ASICs 55 PenTile 55 modulation formats 55 HKMG 55 SiC Schottky diodes 55 flexible monolithically integrated 55 Gallium Arsenide GaAs 55 Indium phosphide 55 SuperFlash 55 PCIe interconnect 55 nm immersion 55 capacitances 55 GaAs PHEMT 55 ARM Cortex R4 55 low capacitance ESD 55 LPDDR 55 argon fluoride 55 dataplane 55 silicon interposers 55 Silicon Via TSV 55 #nm fabrication 55 TFT LCD module 55 MSM# TM chipset 55 tunable filter 55 Electron Mobility Transistor 55 manufacturability DFM 55 SoC designs 55 FB DIMM 55 TWINSCAN 55 photon detection 55 OTFT 55 Teknovus EPON 55 UVTP 55 NOR Flash Memory 55 nm FPGA 55 pin BGA package 55 #nm wavelength [001] 55 #nm 8GB 55 aluminum nitride 55 GbE controller 55 3D TSV 55 3Gbit s 55 TQP# 55 metallic interconnects 55 PSoC architecture 55 SAS expanders 55 ARM9 core 55 Cadmium Telluride CdTe 55 LDMOS RF 55 PEALD 55 silicon oscillators 55 EUVL 55 III nitride 55 DDR DRAM memory 55 Bump Adapters 55 TrenchFET 55 MT#P# 55 2Gb NAND flash 55 varistor 55 #mm ² [002] 55 dc dc controller 55 germanium substrates 55 voltage differential 55 WL CSP 55 transistor HEMT 55 CNT FED 55 VarioTAP ® 55 BigIron MG8 55 Rambus XDR memory 55 photonic components 55 dsPIC#F# 55 Ball Grid Array 55 FastSPICE 55 InN 55 #Gbps Ethernet [001] 55 #.#μm [001] 55 OmniPixel3 HS 55 SiliconSystems SiliconDrive 55 C4NP 55 8bit MCUs 55 multiplexed assay 55 CMOS circuits 55 DDRII + 55 PCIe Gen2 55 B4 Flash 55 PCB layout 55 monocrystalline wafers 55 RLDRAM 55 gigabit Gb NAND flash 55 PMOS transistors 55 nm VCSEL 55 Intel #P chipset [001] 55 amorphous alloy transformer 55 #nm Process 55 digital isolators 55 Xtensa processors 55 mux demux 55 backside illumination BSI 55 poly crystalline 55 pellicle 55 EBDW 55 DDR3 modules 55 MB#Q# 55 CCD imagers 55 outcoupling 55 DDR2 SDRAMs 55 Optocoupler 55 correction OPC 55 AdvancedMC AMC 55 Freescale MSC# 55 epiwafer 55 mask aligner 55 FineSim Pro 55 TGA# SM 55 micro electromechanical 55 reconfigurable logic 55 radial leaded 55 #G/#G [001] 55 nm NAND 55 LX4 55 photonic bandgap 55 heterogeneous multicore 55 multirate 55 indium gallium arsenide InGaAs 55 DDR3 DDR2 55 silicon CMOS 55 pseudo SRAM 55 LPDDR2 55 Atmel ATmega#RFA# 55 high-k/metal gate HKMG 55 Silicon Nitride 55 Plasmonic 55 crystal resonator 55 MEMS oscillator 55 interposer 55 DS DBR 55 Chemical Mechanical Polishing 55 Immersion Lithography 55 DiCon 55 Ascentis Express 55 Z Foil 55 GaAs 55 Extreme Ultraviolet EUV 55 #.#mm# [001] 55 Strained silicon 55 transparent electrodes 55 sSOI 55 trench capacitor 55 DEV DA TOMAR NEXT 55 Cadence Encounter RTL Compiler 55 immersion lithography 55 silicon Si 54 QorIQ processors 54 #GBASE T PHY 54 DSP architectures 54 JESD# [002] 54 Synopsys DFM 54 directional couplers 54 Optical Amplifier 54 eutectic 54 RF amplifiers 54 GaAs gallium arsenide 54 planarization 54 optical interconnections 54 6Gbit/sec 54 optical transceiver module 54 capacitive coupling 54 defectivity 54 CMOS wafers 54 embedded DRAM eDRAM 54 TMS#DM# [002] 54 BGA packages 54 arrays FPGA 54 Kilopass XPM 54 4Gb NAND flash 54 Copper Indium Gallium Selenide 54 microelectromechanical 54 capacitively coupled 54 wide bandgap semiconductor 54 Altera FPGAs 54 FineSim SPICE 54 indium phosphide InP 54 OLED microdisplay 54 areal densities 54 4Mbit 54 RF MEMS switches 54 mm wafer 54 gallium nitride GaN 54 SiWare 54 ASIC SoC 54 DfM 54 Micromorph 54 TOSAs 54 transparent electrode 54 SnO2 54 penta band 54 AEL# 54 PLDs 54 eFlash 54 Si TFT LCD 54 AlInGaP 54 photonic circuits 54 Virtual HBA 54 #Gbit Ethernet 54 HDP CVD 54 Actel Fusion 54 Evaluation Module 54 VECTOR Express 54 3D graphics accelerators 54 CAN transceivers 54 planar waveguide 54 MLC NAND Flash 54 RFMD GaN 54 ChemetriQ 54 FPGA ASIC 54 Bipolar CMOS DMOS 54 using CMOS BiCMOS 54 Arria II 54 AlN 54 MirrorBit technology 54 foundries IDMs 54 VLSI circuits 54 Gbit DDR3 54 #.#V CMOS 54 dynamically reconfigurable 54 PCI Express Gen2 54 TOTAL RADIO TM 54 CIGSe 54 RF amplifier 54 silicon modulators 54 WinPath3 SuperLite 54 S#C# 54 toggle DDR 54 Gbit s optical 54 FPGA CPLD 54 X ray microscopy 54 microchannel plate 54 HBAs switches 54 #mm wafer 54 IGP chipset 54 underfill 54 monochromator 54 FPGA architectures 54 #Gb Ethernet [002] 54 SiS# chipset 54 PIN diode 54 ion traps 54 opto mechanical 54 #GBASE KR 54 silica spheres 54 electromagnetic interference EMI 54 picoPower 54 #GBASE LR 54 MMICs 54 optical isolators 54 diffractive optical elements 54 #Gbps Ethernet switch 54 wafer bumping 54 LVDS output 54 CCFL LCD 54 4KEc 54 #.# micron SiGe 54 subwavelength 54 Airborne Particle Sensor 54 nano patterning 54 FBAR filters 54 nanometer nm 54 Marvell #DE# 54 epitaxial deposition 54 copper nanorods 54 silicon foundries 54 OFDM orthogonal frequency 54 #nm wafers 54 bipolar transistor 54 OptoCooler 54 controller ICs 54 LTE basestation 54 multilayers 54 Cortex A9 processor 54 manganite 54 OmniPixel 54 OLTs 54 Cortex A9 MPCore 54 directly modulated lasers 54 Envelope Tracking 54 millimeter mm 54 transceiver IC 54 CMOS RF transceiver 54 Semiconductors ITRS 54 SynTest 54 backside metallization 54 sapphire wafers 54 Magma Quartz DRC 54 chipscale 54 Gbps InfiniBand 54 Schottky diode 54 HyperTransport TM 54 HardCopy 54 SO8 54 HV HBT 54 Mobility Radeon X# [001] 54 Cortex processor 54 programmable logic devices 54 GLOBALFOUNDRIES #nm 54 OneDRAM 54 Resistive Random Access 54 SiliconSmart ACE 54 gigabit PON 54 mode dispersion PMD 54 ion implanter 54 CMOS oscillators 54 AlGaN 54 wafer probing 54 extruded profiles 54 ion implanters 54 DesignWare Verification IP 54 CMP slurry 54 RLDRAM II 54 Freescale QorIQ 54 LTR# 54 carbon nanotube CNT 54 RFeICs 54 6T SRAM 54 embedded DRAM 54 CMOS Photonics technology 54 millimeter wafer 54 GDDR SDRAM 54 Design Compiler topographical 54 multithreaded processors 54 multiplexing capabilities 54 #GbE PHY 54 6Gb s SAS RAID 54 nonpolar GaN 54 wafer 54 Turbo EPON 54 film transistors TFTs 54 QFN packages 54 #μm [001] 54 LDMOS 54 Rapid prototyping 54 #nm wavelengths 54 ARM Cortex A9 processor 54 QorIQ platforms 54 #nm SRAM 54 Microdisplay 54 NAND Flash Memory 54 Westmere processor 54 Tunable 54 uniaxial strain 54 deep ultraviolet DUV 54 Xilinx FPGA 54 CSM# 54 #/#-bit [002]

Back to home page